Input buildinfo: https://buildinfos.debian.net/buildinfo-pool/b/berkeley-abc/berkeley-abc_1.01+20191006git52a8ebb+dfsg-1_amd64.buildinfo Use metasnap for getting required timestamps New buildinfo file: /tmp/berkeley-abc-1.01+20191006git52a8ebb+dfsg-1nfllf7_y/berkeley-abc_1.01+20191006git52a8ebb+dfsg-1_amd64.buildinfo Get source package info: berkeley-abc=1.01+20191006git52a8ebb+dfsg-1 Source URL: http://snapshot.notset.fr/mr/package/berkeley-abc/1.01+20191006git52a8ebb+dfsg-1/srcfiles?fileinfo=1 env -i PATH=/usr/sbin:/usr/bin:/sbin:/bin TMPDIR=/tmp mmdebstrap --arch=amd64 --include=autoconf=2.69-11 automake=1:1.16.1-4 autopoint=0.19.8.1-9 autotools-dev=20180224.1 base-files=11 base-passwd=3.5.46 bash=5.0-4 binutils=2.33.1-1 binutils-common=2.33.1-1 binutils-x86-64-linux-gnu=2.33.1-1 bsdmainutils=11.1.2+b1 bsdutils=1:2.34-0.1 build-essential=12.8 bzip2=1.0.8-2 coreutils=8.30-3+b1 cpp=4:9.2.1-3.1 cpp-9=9.2.1-9 dash=0.5.10.2-6 debconf=1.5.73 debhelper=12.6.1 debianutils=4.9 dh-autoreconf=19 dh-strip-nondeterminism=1.6.1-1 diffutils=1:3.7-3 dpkg=1.19.7 dpkg-dev=1.19.7 dwz=0.13-1 fdisk=2.34-0.1 file=1:5.37-5 findutils=4.7.0-1 g++=4:9.2.1-3.1 g++-9=9.2.1-9 gcc=4:9.2.1-3.1 gcc-9=9.2.1-9 gcc-9-base=9.2.1-9 gettext=0.19.8.1-9 gettext-base=0.19.8.1-9 grep=3.3-1 groff-base=1.22.4-3 gzip=1.9-3+b1 hostname=3.22+b1 init-system-helpers=1.57 intltool-debian=0.35.0+20060710.5 libacl1=2.2.53-5 libarchive-zip-perl=1.67-1 libasan5=9.2.1-9 libatomic1=9.2.1-9 libattr1=1:2.4.48-5 libaudit-common=1:2.8.5-2 libaudit1=1:2.8.5-2 libbinutils=2.33.1-1 libblkid1=2.34-0.1 libbsd0=0.10.0-1 libbz2-1.0=1.0.8-2 libbz2-dev=1.0.8-2 libc-bin=2.29-2 libc-dev-bin=2.29-2 libc6=2.29-2 libc6-dev=2.29-2 libcap-ng0=0.7.9-2+b1 libcc1-0=9.2.1-9 libcroco3=0.6.13-1 libdb5.3=5.3.28+dfsg1-0.6 libdebconfclient0=0.249 libdebhelper-perl=12.6.1 libdpkg-perl=1.19.7 libelf1=0.176-1.1 libfdisk1=2.34-0.1 libffi6=3.2.1-9 libfile-stripnondeterminism-perl=1.6.1-1 libgcc-9-dev=9.2.1-9 libgcc1=1:9.2.1-9 libgcrypt20=1.8.5-3 libgdbm-compat4=1.18.1-5 libgdbm6=1.18.1-5 libglib2.0-0=2.62.1-1 libgmp10=2:6.1.2+dfsg-4 libgomp1=9.2.1-9 libgpg-error0=1.36-7 libicu63=63.2-2 libisl21=0.21-2 libitm1=9.2.1-9 liblsan0=9.2.1-9 liblz4-1=1.9.1-2 liblzma5=5.2.4-1+b1 libmagic-mgc=1:5.37-5 libmagic1=1:5.37-5 libmount1=2.34-0.1 libmpc3=1.1.0-1 libmpfr6=4.0.2-1 libncurses-dev=6.1+20190803-1 libncurses6=6.1+20190803-1 libncursesw6=6.1+20190803-1 libpam-modules=1.3.1-5 libpam-modules-bin=1.3.1-5 libpam-runtime=1.3.1-5 libpam0g=1.3.1-5 libpcre2-8-0=10.32-5+b1 libpcre3=2:8.39-12+b1 libperl5.30=5.30.0-7 libpipeline1=1.5.1-2 libquadmath0=9.2.1-9 libreadline-dev=8.0-3 libreadline8=8.0-3 libseccomp2=2.4.1-2 libselinux1=2.9-2+b2 libsigsegv2=2.12-2 libsmartcols1=2.34-0.1 libstdc++-9-dev=9.2.1-9 libstdc++6=9.2.1-9 libsub-override-perl=0.09-2 libsystemd0=242-7 libtinfo6=6.1+20190803-1 libtool=2.4.6-11 libtsan0=9.2.1-9 libubsan1=9.2.1-9 libuchardet0=0.0.6-3 libudev1=242-7 libunistring2=0.9.10-2 libuuid1=2.34-0.1 libxml2=2.9.4+dfsg1-7+b3 linux-libc-dev=5.2.17-1+b1 login=1:4.7-2 lsb-base=11.1.0 m4=1.4.18-2 make=4.2.1-1.2 man-db=2.8.7-3 mawk=1.3.3-17+b3 ncurses-base=6.1+20190803-1 ncurses-bin=6.1+20190803-1 patch=2.7.6-6 perl=5.30.0-7 perl-base=5.30.0-7 perl-modules-5.30=5.30.0-7 po-debconf=1.0.21 readline-common=8.0-3 sed=4.7-1 sensible-utils=0.0.12 sysvinit-utils=2.96~beta-3 tar=1.30+dfsg-6+b1 util-linux=2.34-0.1 xz-utils=5.2.4-1+b1 zlib1g=1:1.2.11.dfsg-1+b1 zlib1g-dev=1:1.2.11.dfsg-1+b1 --variant=apt --aptopt=Acquire::Check-Valid-Until "false" --aptopt=Acquire::http::Dl-Limit "1000"; --aptopt=Acquire::https::Dl-Limit "1000"; --aptopt=Acquire::Retries "5"; --aptopt=APT::Get::allow-downgrades "true"; --keyring=/usr/share/keyrings/ --essential-hook=chroot "$1" sh -c "apt-get --yes install fakeroot util-linux" --essential-hook=copy-in /usr/share/keyrings/debian-archive-bullseye-automatic.gpg /usr/share/keyrings/debian-archive-bullseye-security-automatic.gpg /usr/share/keyrings/debian-archive-bullseye-stable.gpg /usr/share/keyrings/debian-archive-buster-automatic.gpg /usr/share/keyrings/debian-archive-buster-security-automatic.gpg /usr/share/keyrings/debian-archive-buster-stable.gpg /usr/share/keyrings/debian-archive-keyring.gpg /usr/share/keyrings/debian-archive-removed-keys.gpg /usr/share/keyrings/debian-archive-stretch-automatic.gpg /usr/share/keyrings/debian-archive-stretch-security-automatic.gpg /usr/share/keyrings/debian-archive-stretch-stable.gpg /usr/share/keyrings/debian-ports-archive-keyring-removed.gpg /usr/share/keyrings/debian-ports-archive-keyring.gpg /usr/share/keyrings/debian-keyring.gpg /etc/apt/trusted.gpg.d/ --essential-hook=chroot "$1" sh -c "rm /etc/apt/sources.list && echo 'deb http://snapshot.notset.fr/archive/debian/20210814T212851Z/ bookworm main deb-src http://snapshot.notset.fr/archive/debian/20210814T212851Z/ bookworm main deb http://snapshot.notset.fr/archive/debian/20191018T205838Z/ unstable main' >> /etc/apt/sources.list && apt-get update" --customize-hook=chroot "$1" useradd --no-create-home -d /nonexistent -p "" builduser -s /bin/bash --customize-hook=chroot "$1" env sh -c "apt-get source --only-source -d berkeley-abc=1.01+20191006git52a8ebb+dfsg-1 && mkdir -p /build/berkeley-abc-T25BIr && dpkg-source --no-check -x /*.dsc /build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg && chown -R builduser:builduser /build/berkeley-abc-T25BIr" --customize-hook=chroot "$1" env --unset=TMPDIR runuser builduser -c "cd /build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg && env DEB_BUILD_OPTIONS="parallel=4" LANG="C.UTF-8" LC_ALL="C.UTF-8" SOURCE_DATE_EPOCH="1571556692" dpkg-buildpackage -uc -a amd64 --build=any" --customize-hook=sync-out /build/berkeley-abc-T25BIr /tmp/berkeley-abc-1.01+20191006git52a8ebb+dfsg-1nfllf7_y bullseye /dev/null deb http://snapshot.notset.fr/archive/debian/20191018T205838Z unstable main I: automatically chosen mode: root I: chroot architecture amd64 is equal to the host's architecture I: automatically chosen format: tar I: using /tmp/mmdebstrap.EK9xpNSIKb as tempdir I: running apt-get update... I: downloading packages with apt... I: extracting archives... I: installing essential packages... I: running --essential-hook in shell: sh -c 'chroot "$1" sh -c "apt-get --yes install fakeroot util-linux"' exec /tmp/mmdebstrap.EK9xpNSIKb Reading package lists... Building dependency tree... util-linux is already the newest version (2.34-0.1). The following NEW packages will be installed: fakeroot libfakeroot 0 upgraded, 2 newly installed, 0 to remove and 0 not upgraded. Need to get 132 kB of archives. After this operation, 393 kB of additional disk space will be used. Get:1 http://snapshot.notset.fr/archive/debian/20191018T205838Z unstable/main amd64 libfakeroot amd64 1.24-1 [45.7 kB] Get:2 http://snapshot.notset.fr/archive/debian/20191018T205838Z unstable/main amd64 fakeroot amd64 1.24-1 [85.9 kB] debconf: delaying package configuration, since apt-utils is not installed Fetched 132 kB in 0s (761 kB/s) Selecting previously unselected package libfakeroot:amd64. (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 4508 files and directories currently installed.) Preparing to unpack .../libfakeroot_1.24-1_amd64.deb ... Unpacking libfakeroot:amd64 (1.24-1) ... Selecting previously unselected package fakeroot. Preparing to unpack .../fakeroot_1.24-1_amd64.deb ... Unpacking fakeroot (1.24-1) ... Setting up libfakeroot:amd64 (1.24-1) ... Setting up fakeroot (1.24-1) ... update-alternatives: using /usr/bin/fakeroot-sysv to provide /usr/bin/fakeroot (fakeroot) in auto mode Processing triggers for libc-bin (2.29-2) ... I: running special hook: copy-in /usr/share/keyrings/debian-archive-bullseye-automatic.gpg /usr/share/keyrings/debian-archive-bullseye-security-automatic.gpg /usr/share/keyrings/debian-archive-bullseye-stable.gpg /usr/share/keyrings/debian-archive-buster-automatic.gpg /usr/share/keyrings/debian-archive-buster-security-automatic.gpg /usr/share/keyrings/debian-archive-buster-stable.gpg /usr/share/keyrings/debian-archive-keyring.gpg /usr/share/keyrings/debian-archive-removed-keys.gpg /usr/share/keyrings/debian-archive-stretch-automatic.gpg /usr/share/keyrings/debian-archive-stretch-security-automatic.gpg /usr/share/keyrings/debian-archive-stretch-stable.gpg /usr/share/keyrings/debian-ports-archive-keyring-removed.gpg /usr/share/keyrings/debian-ports-archive-keyring.gpg /usr/share/keyrings/debian-keyring.gpg /etc/apt/trusted.gpg.d/ I: running --essential-hook in shell: sh -c 'chroot "$1" sh -c "rm /etc/apt/sources.list && echo 'deb http://snapshot.notset.fr/archive/debian/20210814T212851Z/ bookworm main deb-src http://snapshot.notset.fr/archive/debian/20210814T212851Z/ bookworm main deb http://snapshot.notset.fr/archive/debian/20191018T205838Z/ unstable main' >> /etc/apt/sources.list && apt-get update"' exec /tmp/mmdebstrap.EK9xpNSIKb Get:1 http://snapshot.notset.fr/archive/debian/20210814T212851Z bookworm InRelease [81.6 kB] Hit:2 http://snapshot.notset.fr/archive/debian/20191018T205838Z unstable InRelease Ign:3 http://snapshot.notset.fr/archive/debian/20210814T212851Z bookworm/main Sources Ign:4 http://snapshot.notset.fr/archive/debian/20210814T212851Z bookworm/main amd64 Packages Ign:3 http://snapshot.notset.fr/archive/debian/20210814T212851Z bookworm/main Sources Ign:4 http://snapshot.notset.fr/archive/debian/20210814T212851Z bookworm/main amd64 Packages Ign:3 http://snapshot.notset.fr/archive/debian/20210814T212851Z bookworm/main Sources Ign:4 http://snapshot.notset.fr/archive/debian/20210814T212851Z bookworm/main amd64 Packages Get:3 http://snapshot.notset.fr/archive/debian/20210814T212851Z bookworm/main Sources [11.4 MB] Get:4 http://snapshot.notset.fr/archive/debian/20210814T212851Z bookworm/main amd64 Packages [11.1 MB] Fetched 22.6 MB in 21s (1052 kB/s) Reading package lists... I: installing remaining packages inside the chroot... I: running --customize-hook in shell: sh -c 'chroot "$1" useradd --no-create-home -d /nonexistent -p "" builduser -s /bin/bash' exec /tmp/mmdebstrap.EK9xpNSIKb I: running --customize-hook in shell: sh -c 'chroot "$1" env sh -c "apt-get source --only-source -d berkeley-abc=1.01+20191006git52a8ebb+dfsg-1 && mkdir -p /build/berkeley-abc-T25BIr && dpkg-source --no-check -x /*.dsc /build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg && chown -R builduser:builduser /build/berkeley-abc-T25BIr"' exec /tmp/mmdebstrap.EK9xpNSIKb Reading package lists... NOTICE: 'berkeley-abc' packaging is maintained in the 'Git' version control system at: https://salsa.debian.org/science-team/berkeley-abc.git Please use: git clone https://salsa.debian.org/science-team/berkeley-abc.git to retrieve the latest (possibly unreleased) updates to the package. Need to get 3714 kB of source archives. Get:1 http://snapshot.notset.fr/archive/debian/20210814T212851Z bookworm/main berkeley-abc 1.01+20191006git52a8ebb+dfsg-1 (dsc) [2252 B] Get:2 http://snapshot.notset.fr/archive/debian/20210814T212851Z bookworm/main berkeley-abc 1.01+20191006git52a8ebb+dfsg-1 (tar) [3696 kB] Get:3 http://snapshot.notset.fr/archive/debian/20210814T212851Z bookworm/main berkeley-abc 1.01+20191006git52a8ebb+dfsg-1 (diff) [15.0 kB] Fetched 3714 kB in 3s (1204 kB/s) Download complete and in download only mode W: Download is performed unsandboxed as root as file 'berkeley-abc_1.01+20191006git52a8ebb+dfsg-1.dsc' couldn't be accessed by user '_apt'. - pkgAcquire::Run (13: Permission denied) dpkg-source: info: extracting berkeley-abc in /build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg dpkg-source: info: unpacking berkeley-abc_1.01+20191006git52a8ebb+dfsg.orig.tar.xz dpkg-source: info: unpacking berkeley-abc_1.01+20191006git52a8ebb+dfsg-1.debian.tar.xz dpkg-source: info: using patch list from debian/patches/series dpkg-source: info: applying remove_bzlib_convenience.patch dpkg-source: info: applying remove_zlib_convenience.patch dpkg-source: info: applying cflags_ldflags.patch dpkg-source: info: applying reproducibility.patch dpkg-source: info: applying writepla.patch dpkg-source: info: applying 0006-Fix-spelling-errors.patch I: running --customize-hook in shell: sh -c 'chroot "$1" env --unset=TMPDIR runuser builduser -c "cd /build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg && env DEB_BUILD_OPTIONS="parallel=4" LANG="C.UTF-8" LC_ALL="C.UTF-8" SOURCE_DATE_EPOCH="1571556692" dpkg-buildpackage -uc -a amd64 --build=any"' exec /tmp/mmdebstrap.EK9xpNSIKb dpkg-buildpackage: info: source package berkeley-abc dpkg-buildpackage: info: source version 1.01+20191006git52a8ebb+dfsg-1 dpkg-buildpackage: info: source distribution unstable dpkg-buildpackage: info: source changed by Ruben Undheim dpkg-source --before-build . dpkg-buildpackage: info: host architecture amd64 fakeroot debian/rules clean dh clean debian/rules override_dh_auto_clean make[1]: Entering directory '/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg' rm -f berkeley-abc dh_auto_clean make -j4 clean make[2]: Entering directory '/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg' Using CC=gcc Using CXX=g++ Using LD=g++ Compiling with CUDD Using libreadline Using pthreads Found GCC_VERSION 9 Found GCC_MAJOR>=5 Using CFLAGS=-g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DLIN64 -DSIZEOF_VOID_P=8 -DSIZEOF_LONG=8 -DSIZEOF_INT=4 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable `` Cleaning up... removed 'arch_flags' removed 'src/sat/glucose/AbcGlucose.d' removed 'src/sat/glucose/AbcGlucoseCmd.d' removed 'src/sat/glucose/Glucose.d' removed 'src/sat/glucose/Options.d' removed 'src/sat/glucose/SimpSolver.d' removed 'src/sat/glucose/System.d' removed 'src/base/abc/abcAig.d' removed 'src/base/abc/abcBarBuf.d' removed 'src/base/abc/abcBlifMv.d' removed 'src/base/abc/abcCheck.d' removed 'src/base/abc/abcDfs.d' removed 'src/base/abc/abcFanio.d' removed 'src/base/abc/abcFanOrder.d' removed 'src/base/abc/abcFunc.d' removed 'src/base/abc/abcHie.d' removed 'src/base/abc/abcHieCec.d' removed 'src/base/abc/abcHieGia.d' removed 'src/base/abc/abcHieNew.d' removed 'src/base/abc/abcLatch.d' removed 'src/base/abc/abcLib.d' removed 'src/base/abc/abcMinBase.d' removed 'src/base/abc/abcNames.d' removed 'src/base/abc/abcNetlist.d' removed 'src/base/abc/abcNtk.d' removed 'src/base/abc/abcObj.d' removed 'src/base/abc/abcRefs.d' removed 'src/base/abc/abcShow.d' removed 'src/base/abc/abcSop.d' removed 'src/base/abc/abcUtil.d' removed 'src/base/abci/abc.d' removed 'src/base/abci/abcAttach.d' removed 'src/base/abci/abcAuto.d' removed 'src/base/abci/abcBalance.d' removed 'src/base/abci/abcBidec.d' removed 'src/base/abci/abcBm.d' removed 'src/base/abci/abcBmc.d' removed 'src/base/abci/abcCas.d' removed 'src/base/abci/abcCascade.d' removed 'src/base/abci/abcCollapse.d' removed 'src/base/abci/abcCut.d' removed 'src/base/abci/abcDar.d' removed 'src/base/abci/abcDebug.d' removed 'src/base/abci/abcDec.d' removed 'src/base/abci/abcDetect.d' removed 'src/base/abci/abcDress.d' removed 'src/base/abci/abcDress2.d' removed 'src/base/abci/abcDress3.d' removed 'src/base/abci/abcDsd.d' removed 'src/base/abci/abcEco.d' removed 'src/base/abci/abcExact.d' removed 'src/base/abci/abcExtract.d' removed 'src/base/abci/abcFraig.d' removed 'src/base/abci/abcFx.d' removed 'src/base/abci/abcFxu.d' removed 'src/base/abci/abcGen.d' removed 'src/base/abci/abcHaig.d' removed 'src/base/abci/abcIf.d' removed 'src/base/abci/abcIfif.d' removed 'src/base/abci/abcIfMux.d' removed 'src/base/abci/abcIvy.d' removed 'src/base/abci/abcLog.d' removed 'src/base/abci/abcLut.d' removed 'src/base/abci/abcLutmin.d' removed 'src/base/abci/abcMap.d' removed 'src/base/abci/abcMerge.d' removed 'src/base/abci/abcMfs.d' removed 'src/base/abci/abcMini.d' removed 'src/base/abci/abcMiter.d' removed 'src/base/abci/abcMulti.d' removed 'src/base/abci/abcNtbdd.d' removed 'src/base/abci/abcNpn.d' removed 'src/base/abci/abcNpnSave.d' removed 'src/base/abci/abcOdc.d' removed 'src/base/abci/abcOrder.d' removed 'src/base/abci/abcPart.d' removed 'src/base/abci/abcPrint.d' removed 'src/base/abci/abcProve.d' removed 'src/base/abci/abcQbf.d' removed 'src/base/abci/abcQuant.d' removed 'src/base/abci/abcRec3.d' removed 'src/base/abci/abcReconv.d' removed 'src/base/abci/abcReach.d' removed 'src/base/abci/abcRefactor.d' removed 'src/base/abci/abcRenode.d' removed 'src/base/abci/abcReorder.d' removed 'src/base/abci/abcRestruct.d' removed 'src/base/abci/abcResub.d' removed 'src/base/abci/abcRewrite.d' removed 'src/base/abci/abcRpo.d' removed 'src/base/abci/abcRr.d' removed 'src/base/abci/abcRunGen.d' removed 'src/base/abci/abcSat.d' removed 'src/base/abci/abcSaucy.d' removed 'src/base/abci/abcScorr.d' removed 'src/base/abci/abcSense.d' removed 'src/base/abci/abcSpeedup.d' removed 'src/base/abci/abcStrash.d' removed 'src/base/abci/abcSweep.d' removed 'src/base/abci/abcSymm.d' removed 'src/base/abci/abcTim.d' removed 'src/base/abci/abcTiming.d' removed 'src/base/abci/abcUnate.d' removed 'src/base/abci/abcUnreach.d' removed 'src/base/abci/abcVerify.d' removed 'src/base/abci/abcXsim.d' removed 'src/base/cmd/cmd.d' removed 'src/base/cmd/cmdAlias.d' removed 'src/base/cmd/cmdApi.d' removed 'src/base/cmd/cmdAuto.d' removed 'src/base/cmd/cmdFlag.d' removed 'src/base/cmd/cmdHist.d' removed 'src/base/cmd/cmdLoad.d' removed 'src/base/cmd/cmdPlugin.d' removed 'src/base/cmd/cmdStarter.d' removed 'src/base/cmd/cmdUtils.d' removed 'src/base/io/io.d' removed 'src/base/io/ioJson.d' removed 'src/base/io/ioReadAiger.d' removed 'src/base/io/ioReadBaf.d' removed 'src/base/io/ioReadBblif.d' removed 'src/base/io/ioReadBench.d' removed 'src/base/io/ioReadBlif.d' removed 'src/base/io/ioReadBlifAig.d' removed 'src/base/io/ioReadBlifMv.d' removed 'src/base/io/ioReadDsd.d' removed 'src/base/io/ioReadEdif.d' removed 'src/base/io/ioReadEqn.d' removed 'src/base/io/ioReadPla.d' removed 'src/base/io/ioReadPlaMo.d' removed 'src/base/io/ioReadVerilog.d' removed 'src/base/io/ioUtil.d' removed 'src/base/io/ioWriteAiger.d' removed 'src/base/io/ioWriteBaf.d' removed 'src/base/io/ioWriteBblif.d' removed 'src/base/io/ioWriteBench.d' removed 'src/base/io/ioWriteBlif.d' removed 'src/base/io/ioWriteBlifMv.d' removed 'src/base/io/ioWriteBook.d' removed 'src/base/io/ioWriteCnf.d' removed 'src/base/io/ioWriteDot.d' removed 'src/base/io/ioWriteEqn.d' removed 'src/base/io/ioWriteGml.d' removed 'src/base/io/ioWriteList.d' removed 'src/base/io/ioWritePla.d' removed 'src/base/io/ioWriteVerilog.d' removed 'src/base/io/ioWriteSmv.d' removed 'src/base/main/main.d' removed 'src/base/main/mainFrame.d' removed 'src/base/main/mainInit.d' removed 'src/base/main/mainLib.d' removed 'src/base/main/mainReal.d' removed 'src/base/main/libSupport.d' removed 'src/base/main/mainUtils.d' removed 'src/base/exor/exor.d' removed 'src/base/exor/exorBits.d' removed 'src/base/exor/exorCubes.d' removed 'src/base/exor/exorLink.d' removed 'src/base/exor/exorList.d' removed 'src/base/exor/exorUtil.d' removed 'src/base/ver/verCore.d' removed 'src/base/ver/verFormula.d' removed 'src/base/ver/verParse.d' removed 'src/base/ver/verStream.d' removed 'src/base/wlc/wlcAbs.d' removed 'src/base/wlc/wlcAbs2.d' removed 'src/base/wlc/wlcAbc.d' removed 'src/base/wlc/wlcPth.d' removed 'src/base/wlc/wlcBlast.d' removed 'src/base/wlc/wlcCom.d' removed 'src/base/wlc/wlcGraft.d' removed 'src/base/wlc/wlcJson.d' removed 'src/base/wlc/wlcMem.d' removed 'src/base/wlc/wlcNdr.d' removed 'src/base/wlc/wlcNtk.d' removed 'src/base/wlc/wlcReadSmt.d' removed 'src/base/wlc/wlcReadVer.d' removed 'src/base/wlc/wlcSim.d' removed 'src/base/wlc/wlcShow.d' removed 'src/base/wlc/wlcStdin.d' removed 'src/base/wlc/wlcUif.d' removed 'src/base/wlc/wlcWin.d' removed 'src/base/wlc/wlcWriteVer.d' removed 'src/base/wln/wln.d' removed 'src/base/wln/wlnMem.d' removed 'src/base/wln/wlnNdr.d' removed 'src/base/wln/wlnNtk.d' removed 'src/base/wln/wlnObj.d' removed 'src/base/wln/wlnRetime.d' removed 'src/base/wln/wlnWlc.d' removed 'src/base/wln/wlnWriteVer.d' removed 'src/base/acb/acbAbc.d' removed 'src/base/acb/acbAig.d' removed 'src/base/acb/acbCom.d' removed 'src/base/acb/acbFunc.d' removed 'src/base/acb/acbMfs.d' removed 'src/base/acb/acbPush.d' removed 'src/base/acb/acbSets.d' removed 'src/base/acb/acbUtil.d' removed 'src/base/bac/bacBlast.d' removed 'src/base/bac/bacBac.d' removed 'src/base/bac/bacCom.d' removed 'src/base/bac/bacLib.d' removed 'src/base/bac/bacNtk.d' removed 'src/base/bac/bacPrsBuild.d' removed 'src/base/bac/bacPrsTrans.d' removed 'src/base/bac/bacPtr.d' removed 'src/base/bac/bacPtrAbc.d' removed 'src/base/bac/bacReadBlif.d' removed 'src/base/bac/bacReadSmt.d' removed 'src/base/bac/bacReadVer.d' removed 'src/base/bac/bacWriteBlif.d' removed 'src/base/bac/bacWriteSmt.d' removed 'src/base/bac/bacWriteVer.d' removed 'src/base/cba/cbaBlast.d' removed 'src/base/cba/cbaCba.d' removed 'src/base/cba/cbaCom.d' removed 'src/base/cba/cbaNtk.d' removed 'src/base/cba/cbaReadBlif.d' removed 'src/base/cba/cbaReadVer.d' removed 'src/base/cba/cbaWriteBlif.d' removed 'src/base/cba/cbaWriteVer.d' removed 'src/base/pla/plaCom.d' removed 'src/base/pla/plaHash.d' removed 'src/base/pla/plaMan.d' removed 'src/base/pla/plaMerge.d' removed 'src/base/pla/plaSimple.d' removed 'src/base/pla/plaRead.d' removed 'src/base/pla/plaWrite.d' removed 'src/base/test/test.d' removed 'src/map/mapper/mapper.d' removed 'src/map/mapper/mapperCanon.d' removed 'src/map/mapper/mapperCore.d' removed 'src/map/mapper/mapperCreate.d' removed 'src/map/mapper/mapperCut.d' removed 'src/map/mapper/mapperCutUtils.d' removed 'src/map/mapper/mapperLib.d' removed 'src/map/mapper/mapperMatch.d' removed 'src/map/mapper/mapperRefs.d' removed 'src/map/mapper/mapperSuper.d' removed 'src/map/mapper/mapperSwitch.d' removed 'src/map/mapper/mapperTable.d' removed 'src/map/mapper/mapperTime.d' removed 'src/map/mapper/mapperTree.d' removed 'src/map/mapper/mapperTruth.d' removed 'src/map/mapper/mapperUtils.d' removed 'src/map/mapper/mapperVec.d' removed 'src/map/mio/mio.d' removed 'src/map/mio/mioApi.d' removed 'src/map/mio/mioFunc.d' removed 'src/map/mio/mioParse.d' removed 'src/map/mio/mioRead.d' removed 'src/map/mio/mioSop.d' removed 'src/map/mio/mioUtils.d' removed 'src/map/super/super.d' removed 'src/map/super/superAnd.d' removed 'src/map/super/superGate.d' removed 'src/map/if/ifCom.d' removed 'src/map/if/ifCache.d' removed 'src/map/if/ifCore.d' removed 'src/map/if/ifCut.d' removed 'src/map/if/ifData2.d' removed 'src/map/if/ifDec07.d' removed 'src/map/if/ifDec08.d' removed 'src/map/if/ifDec10.d' removed 'src/map/if/ifDec16.d' removed 'src/map/if/ifDec75.d' removed 'src/map/if/ifDelay.d' removed 'src/map/if/ifDsd.d' removed 'src/map/if/ifLibBox.d' removed 'src/map/if/ifLibLut.d' removed 'src/map/if/ifMan.d' removed 'src/map/if/ifMap.d' removed 'src/map/if/ifMatch2.d' removed 'src/map/if/ifReduce.d' removed 'src/map/if/ifSat.d' removed 'src/map/if/ifSelect.d' removed 'src/map/if/ifSeq.d' removed 'src/map/if/ifTest.d' removed 'src/map/if/ifTime.d' removed 'src/map/if/ifTruth.d' removed 'src/map/if/ifTune.d' removed 'src/map/if/ifUtil.d' removed 'src/map/amap/amapCore.d' removed 'src/map/amap/amapGraph.d' removed 'src/map/amap/amapLib.d' removed 'src/map/amap/amapLiberty.d' removed 'src/map/amap/amapMan.d' removed 'src/map/amap/amapMatch.d' removed 'src/map/amap/amapMerge.d' removed 'src/map/amap/amapOutput.d' removed 'src/map/amap/amapParse.d' removed 'src/map/amap/amapPerm.d' removed 'src/map/amap/amapRead.d' removed 'src/map/amap/amapRule.d' removed 'src/map/amap/amapUniq.d' removed 'src/map/cov/covBuild.d' removed 'src/map/cov/covCore.d' removed 'src/map/cov/covMan.d' removed 'src/map/cov/covMinEsop.d' removed 'src/map/cov/covMinMan.d' removed 'src/map/cov/covMinSop.d' removed 'src/map/cov/covMinUtil.d' removed 'src/map/scl/scl.d' removed 'src/map/scl/sclBuffer.d' removed 'src/map/scl/sclBufSize.d' removed 'src/map/scl/sclDnsize.d' removed 'src/map/scl/sclLiberty.d' removed 'src/map/scl/sclLibScl.d' removed 'src/map/scl/sclLibUtil.d' removed 'src/map/scl/sclLoad.d' removed 'src/map/scl/sclSize.d' removed 'src/map/scl/sclUpsize.d' removed 'src/map/scl/sclUtil.d' removed 'src/map/mpm/mpmAbc.d' removed 'src/map/mpm/mpmCore.d' removed 'src/map/mpm/mpmDsd.d' removed 'src/map/mpm/mpmGates.d' removed 'src/map/mpm/mpmLib.d' removed 'src/map/mpm/mpmMan.d' removed 'src/map/mpm/mpmMap.d' removed 'src/map/mpm/mpmMig.d' removed 'src/map/mpm/mpmPre.d' removed 'src/map/mpm/mpmTruth.d' removed 'src/map/mpm/mpmUtil.d' removed 'src/misc/extra/extraUtilBitMatrix.d' removed 'src/misc/extra/extraUtilCanon.d' removed 'src/misc/extra/extraUtilCfs.d' removed 'src/misc/extra/extraUtilCube.d' removed 'src/misc/extra/extraUtilDsd.d' removed 'src/misc/extra/extraUtilEnum.d' removed 'src/misc/extra/extraUtilFile.d' removed 'src/misc/extra/extraUtilGen.d' removed 'src/misc/extra/extraUtilMacc.d' removed 'src/misc/extra/extraUtilMaj.d' removed 'src/misc/extra/extraUtilMemory.d' removed 'src/misc/extra/extraUtilMisc.d' removed 'src/misc/extra/extraUtilMult.d' removed 'src/misc/extra/extraUtilPath.d' removed 'src/misc/extra/extraUtilPerm.d' removed 'src/misc/extra/extraUtilProgress.d' removed 'src/misc/extra/extraUtilReader.d' removed 'src/misc/extra/extraUtilSupp.d' removed 'src/misc/extra/extraUtilTruth.d' removed 'src/misc/extra/extraUtilUtil.d' removed 'src/misc/mvc/mvcApi.d' removed 'src/misc/mvc/mvcCompare.d' removed 'src/misc/mvc/mvcContain.d' removed 'src/misc/mvc/mvcCover.d' removed 'src/misc/mvc/mvcCube.d' removed 'src/misc/mvc/mvcDivide.d' removed 'src/misc/mvc/mvcDivisor.d' removed 'src/misc/mvc/mvcList.d' removed 'src/misc/mvc/mvcLits.d' removed 'src/misc/mvc/mvcMan.d' removed 'src/misc/mvc/mvcOpAlg.d' removed 'src/misc/mvc/mvcOpBool.d' removed 'src/misc/mvc/mvcPrint.d' removed 'src/misc/mvc/mvcSort.d' removed 'src/misc/mvc/mvcUtils.d' removed 'src/misc/st/st.d' removed 'src/misc/st/stmm.d' removed 'src/misc/util/utilBridge.d' removed 'src/misc/util/utilCex.d' removed 'src/misc/util/utilColor.d' removed 'src/misc/util/utilFile.d' removed 'src/misc/util/utilIsop.d' removed 'src/misc/util/utilNam.d' removed 'src/misc/util/utilSignal.d' removed 'src/misc/util/utilSort.d' removed 'src/misc/nm/nmApi.d' removed 'src/misc/nm/nmTable.d' removed 'src/misc/tim/timBox.d' removed 'src/misc/tim/timDump.d' removed 'src/misc/tim/timMan.d' removed 'src/misc/tim/timTime.d' removed 'src/misc/tim/timTrav.d' removed 'src/misc/mem/mem.d' removed 'src/misc/bar/bar.d' removed 'src/misc/bbl/bblif.d' removed 'src/misc/parse/parseEqn.d' removed 'src/misc/parse/parseStack.d' removed 'src/opt/cut/cutApi.d' removed 'src/opt/cut/cutCut.d' removed 'src/opt/cut/cutMan.d' removed 'src/opt/cut/cutMerge.d' removed 'src/opt/cut/cutNode.d' removed 'src/opt/cut/cutOracle.d' removed 'src/opt/cut/cutPre22.d' removed 'src/opt/cut/cutSeq.d' removed 'src/opt/cut/cutTruth.d' removed 'src/opt/fxu/fxu.d' removed 'src/opt/fxu/fxuCreate.d' removed 'src/opt/fxu/fxuHeapD.d' removed 'src/opt/fxu/fxuHeapS.d' removed 'src/opt/fxu/fxuList.d' removed 'src/opt/fxu/fxuMatrix.d' removed 'src/opt/fxu/fxuPair.d' removed 'src/opt/fxu/fxuPrint.d' removed 'src/opt/fxu/fxuReduce.d' removed 'src/opt/fxu/fxuSelect.d' removed 'src/opt/fxu/fxuSingle.d' removed 'src/opt/fxu/fxuUpdate.d' removed 'src/opt/fxch/Fxch.d' removed 'src/opt/fxch/FxchDiv.d' removed 'src/opt/fxch/FxchMan.d' removed 'src/opt/fxch/FxchSCHashTable.d' removed 'src/opt/rwr/rwrDec.d' removed 'src/opt/rwr/rwrEva.d' removed 'src/opt/rwr/rwrExp.d' removed 'src/opt/rwr/rwrLib.d' removed 'src/opt/rwr/rwrMan.d' removed 'src/opt/rwr/rwrPrint.d' removed 'src/opt/rwr/rwrUtil.d' removed 'src/opt/mfs/mfsCore.d' removed 'src/opt/mfs/mfsDiv.d' removed 'src/opt/mfs/mfsInter.d' removed 'src/opt/mfs/mfsMan.d' removed 'src/opt/mfs/mfsResub.d' removed 'src/opt/mfs/mfsSat.d' removed 'src/opt/mfs/mfsStrash.d' removed 'src/opt/mfs/mfsWin.d' removed 'src/opt/sim/simMan.d' removed 'src/opt/sim/simSeq.d' removed 'src/opt/sim/simSupp.d' removed 'src/opt/sim/simSwitch.d' removed 'src/opt/sim/simSym.d' removed 'src/opt/sim/simSymSat.d' removed 'src/opt/sim/simSymSim.d' removed 'src/opt/sim/simSymStr.d' removed 'src/opt/sim/simUtils.d' removed 'src/opt/ret/retArea.d' removed 'src/opt/ret/retCore.d' removed 'src/opt/ret/retDelay.d' removed 'src/opt/ret/retFlow.d' removed 'src/opt/ret/retIncrem.d' removed 'src/opt/ret/retInit.d' removed 'src/opt/ret/retLvalue.d' removed 'src/opt/fret/fretMain.d' removed 'src/opt/fret/fretFlow.d' removed 'src/opt/fret/fretInit.d' removed 'src/opt/fret/fretTime.d' removed 'src/opt/res/resCore.d' removed 'src/opt/res/resDivs.d' removed 'src/opt/res/resFilter.d' removed 'src/opt/res/resSat.d' removed 'src/opt/res/resSim.d' removed 'src/opt/res/resStrash.d' removed 'src/opt/res/resWin.d' removed 'src/opt/lpk/lpkCore.d' removed 'src/opt/lpk/lpkAbcDec.d' removed 'src/opt/lpk/lpkAbcMux.d' removed 'src/opt/lpk/lpkAbcDsd.d' removed 'src/opt/lpk/lpkAbcUtil.d' removed 'src/opt/lpk/lpkCut.d' removed 'src/opt/lpk/lpkMan.d' removed 'src/opt/lpk/lpkMap.d' removed 'src/opt/lpk/lpkMulti.d' removed 'src/opt/lpk/lpkMux.d' removed 'src/opt/lpk/lpkSets.d' removed 'src/opt/nwk/nwkAig.d' removed 'src/opt/nwk/nwkCheck.d' removed 'src/opt/nwk/nwkBidec.d' removed 'src/opt/nwk/nwkDfs.d' removed 'src/opt/nwk/nwkFanio.d' removed 'src/opt/nwk/nwkFlow.d' removed 'src/opt/nwk/nwkMan.d' removed 'src/opt/nwk/nwkMap.d' removed 'src/opt/nwk/nwkMerge.d' removed 'src/opt/nwk/nwkObj.d' removed 'src/opt/nwk/nwkSpeedup.d' removed 'src/opt/nwk/nwkStrash.d' removed 'src/opt/nwk/nwkTiming.d' removed 'src/opt/nwk/nwkUtil.d' removed 'src/opt/rwt/rwtDec.d' removed 'src/opt/rwt/rwtMan.d' removed 'src/opt/rwt/rwtUtil.d' removed 'src/opt/cgt/cgtAig.d' removed 'src/opt/cgt/cgtCore.d' removed 'src/opt/cgt/cgtDecide.d' removed 'src/opt/cgt/cgtMan.d' removed 'src/opt/cgt/cgtSat.d' removed 'src/opt/csw/cswCore.d' removed 'src/opt/csw/cswCut.d' removed 'src/opt/csw/cswMan.d' removed 'src/opt/csw/cswTable.d' removed 'src/opt/dar/darBalance.d' removed 'src/opt/dar/darCore.d' removed 'src/opt/dar/darCut.d' removed 'src/opt/dar/darData.d' removed 'src/opt/dar/darLib.d' removed 'src/opt/dar/darMan.d' removed 'src/opt/dar/darPrec.d' removed 'src/opt/dar/darRefact.d' removed 'src/opt/dar/darScript.d' removed 'src/opt/dau/dauCanon.d' removed 'src/opt/dau/dauCore.d' removed 'src/opt/dau/dauCount.d' removed 'src/opt/dau/dauDivs.d' removed 'src/opt/dau/dauDsd.d' removed 'src/opt/dau/dauEnum.d' removed 'src/opt/dau/dauGia.d' removed 'src/opt/dau/dauMerge.d' removed 'src/opt/dau/dauNonDsd.d' removed 'src/opt/dau/dauNpn.d' removed 'src/opt/dau/dauNpn2.d' removed 'src/opt/dau/dauTree.d' removed 'src/opt/dsc/dsc.d' removed 'src/opt/sfm/sfmArea.d' removed 'src/opt/sfm/sfmCnf.d' removed 'src/opt/sfm/sfmCore.d' removed 'src/opt/sfm/sfmDec.d' removed 'src/opt/sfm/sfmLib.d' removed 'src/opt/sfm/sfmNtk.d' removed 'src/opt/sfm/sfmSat.d' removed 'src/opt/sfm/sfmTim.d' removed 'src/opt/sfm/sfmMit.d' removed 'src/opt/sfm/sfmWin.d' removed 'src/opt/sbd/sbd.d' removed 'src/opt/sbd/sbdCnf.d' removed 'src/opt/sbd/sbdCore.d' removed 'src/opt/sbd/sbdCut.d' removed 'src/opt/sbd/sbdCut2.d' removed 'src/opt/sbd/sbdLut.d' removed 'src/opt/sbd/sbdPath.d' removed 'src/opt/sbd/sbdSat.d' removed 'src/opt/sbd/sbdWin.d' removed 'src/sat/bsat/satMem.d' removed 'src/sat/bsat/satInter.d' removed 'src/sat/bsat/satInterA.d' removed 'src/sat/bsat/satInterB.d' removed 'src/sat/bsat/satInterP.d' removed 'src/sat/bsat/satProof.d' removed 'src/sat/bsat/satSolver.d' removed 'src/sat/bsat/satSolver2.d' removed 'src/sat/bsat/satSolver2i.d' removed 'src/sat/bsat/satSolver3.d' removed 'src/sat/bsat/satStore.d' removed 'src/sat/bsat/satTrace.d' removed 'src/sat/bsat/satTruth.d' removed 'src/sat/bsat/satUtil.d' removed 'src/sat/xsat/xsatSolver.d' removed 'src/sat/xsat/xsatSolverAPI.d' removed 'src/sat/xsat/xsatCnfReader.d' removed 'src/sat/satoko/solver.d' removed 'src/sat/satoko/solver_api.d' removed 'src/sat/satoko/cnf_reader.d' removed 'src/sat/csat/csat_apis.d' removed 'src/sat/msat/msatActivity.d' removed 'src/sat/msat/msatClause.d' removed 'src/sat/msat/msatClauseVec.d' removed 'src/sat/msat/msatMem.d' removed 'src/sat/msat/msatOrderH.d' removed 'src/sat/msat/msatQueue.d' removed 'src/sat/msat/msatRead.d' removed 'src/sat/msat/msatSolverApi.d' removed 'src/sat/msat/msatSolverCore.d' removed 'src/sat/msat/msatSolverIo.d' removed 'src/sat/msat/msatSolverSearch.d' removed 'src/sat/msat/msatSort.d' removed 'src/sat/msat/msatVec.d' removed 'src/sat/cnf/cnfCore.d' removed 'src/sat/cnf/cnfCut.d' removed 'src/sat/cnf/cnfData.d' removed 'src/sat/cnf/cnfFast.d' removed 'src/sat/cnf/cnfMan.d' removed 'src/sat/cnf/cnfMap.d' removed 'src/sat/cnf/cnfPost.d' removed 'src/sat/cnf/cnfUtil.d' removed 'src/sat/cnf/cnfWrite.d' removed 'src/sat/bmc/bmcBCore.d' removed 'src/sat/bmc/bmcBmc.d' removed 'src/sat/bmc/bmcBmc2.d' removed 'src/sat/bmc/bmcBmc3.d' removed 'src/sat/bmc/bmcBmcAnd.d' removed 'src/sat/bmc/bmcBmci.d' removed 'src/sat/bmc/bmcBmcG.d' removed 'src/sat/bmc/bmcBmcS.d' removed 'src/sat/bmc/bmcCexCare.d' removed 'src/sat/bmc/bmcCexCut.d' removed 'src/sat/bmc/bmcCexDepth.d' removed 'src/sat/bmc/bmcCexMin1.d' removed 'src/sat/bmc/bmcCexMin2.d' removed 'src/sat/bmc/bmcCexTools.d' removed 'src/sat/bmc/bmcChain.d' removed 'src/sat/bmc/bmcClp.d' removed 'src/sat/bmc/bmcEco.d' removed 'src/sat/bmc/bmcExpand.d' removed 'src/sat/bmc/bmcFault.d' removed 'src/sat/bmc/bmcFx.d' removed 'src/sat/bmc/bmcGen.d' removed 'src/sat/bmc/bmcICheck.d' removed 'src/sat/bmc/bmcInse.d' removed 'src/sat/bmc/bmcLoad.d' removed 'src/sat/bmc/bmcMaj.d' removed 'src/sat/bmc/bmcMaj2.d' removed 'src/sat/bmc/bmcMaj3.d' removed 'src/sat/bmc/bmcMaxi.d' removed 'src/sat/bmc/bmcMesh.d' removed 'src/sat/bmc/bmcMesh2.d' removed 'src/sat/bmc/bmcMulti.d' removed 'src/sat/bmc/bmcUnroll.d' removed 'src/bool/bdc/bdcCore.d' removed 'src/bool/bdc/bdcDec.d' removed 'src/bool/bdc/bdcSpfd.d' removed 'src/bool/bdc/bdcTable.d' removed 'src/bool/dec/decAbc.d' removed 'src/bool/dec/decFactor.d' removed 'src/bool/dec/decMan.d' removed 'src/bool/dec/decPrint.d' removed 'src/bool/dec/decUtil.d' removed 'src/bool/kit/kitAig.d' removed 'src/bool/kit/kitBdd.d' removed 'src/bool/kit/kitCloud.d' removed 'src/bool/kit/cloud.d' removed 'src/bool/kit/kitDsd.d' removed 'src/bool/kit/kitFactor.d' removed 'src/bool/kit/kitGraph.d' removed 'src/bool/kit/kitHop.d' removed 'src/bool/kit/kitIsop.d' removed 'src/bool/kit/kitPla.d' removed 'src/bool/kit/kitSop.d' removed 'src/bool/kit/kitTruth.d' removed 'src/bool/lucky/lucky.d' removed 'src/bool/lucky/luckyFast16.d' removed 'src/bool/lucky/luckyFast6.d' removed 'src/bool/lucky/luckyRead.d' removed 'src/bool/lucky/luckySimple.d' removed 'src/bool/lucky/luckySwapIJ.d' removed 'src/bool/lucky/luckySwap.d' removed 'src/bool/rsb/rsbDec6.d' removed 'src/bool/rsb/rsbMan.d' removed 'src/bool/rpo/rpo.d' removed 'src/proof/pdr/pdrCnf.d' removed 'src/proof/pdr/pdrCore.d' removed 'src/proof/pdr/pdrIncr.d' removed 'src/proof/pdr/pdrInv.d' removed 'src/proof/pdr/pdrMan.d' removed 'src/proof/pdr/pdrSat.d' removed 'src/proof/pdr/pdrTsim.d' removed 'src/proof/pdr/pdrTsim2.d' removed 'src/proof/pdr/pdrTsim3.d' removed 'src/proof/pdr/pdrUtil.d' removed 'src/proof/abs/absDup.d' removed 'src/proof/abs/absGla.d' removed 'src/proof/abs/absGlaOld.d' removed 'src/proof/abs/absIter.d' removed 'src/proof/abs/absOldCex.d' removed 'src/proof/abs/absOldRef.d' removed 'src/proof/abs/absOldSat.d' removed 'src/proof/abs/absOldSim.d' removed 'src/proof/abs/absOut.d' removed 'src/proof/abs/absPth.d' removed 'src/proof/abs/absRef.d' removed 'src/proof/abs/absRefSelect.d' removed 'src/proof/abs/absRpm.d' removed 'src/proof/abs/absRpmOld.d' removed 'src/proof/abs/absVta.d' removed 'src/proof/abs/absUtil.d' removed 'src/proof/live/liveness.d' removed 'src/proof/live/liveness_sim.d' removed 'src/proof/live/ltl_parser.d' removed 'src/proof/live/kliveness.d' removed 'src/proof/live/monotone.d' removed 'src/proof/live/disjunctiveMonotone.d' removed 'src/proof/live/arenaViolation.d' removed 'src/proof/live/kLiveConstraints.d' removed 'src/proof/live/combination.d' removed 'src/proof/ssc/sscClass.d' removed 'src/proof/ssc/sscCore.d' removed 'src/proof/ssc/sscSat.d' removed 'src/proof/ssc/sscSim.d' removed 'src/proof/ssc/sscUtil.d' removed 'src/proof/int/intCheck.d' removed 'src/proof/int/intContain.d' removed 'src/proof/int/intCore.d' removed 'src/proof/int/intCtrex.d' removed 'src/proof/int/intDup.d' removed 'src/proof/int/intFrames.d' removed 'src/proof/int/intInter.d' removed 'src/proof/int/intM114.d' removed 'src/proof/int/intMan.d' removed 'src/proof/int/intUtil.d' removed 'src/proof/cec/cecCec.d' removed 'src/proof/cec/cecChoice.d' removed 'src/proof/cec/cecClass.d' removed 'src/proof/cec/cecCore.d' removed 'src/proof/cec/cecCorr.d' removed 'src/proof/cec/cecIso.d' removed 'src/proof/cec/cecMan.d' removed 'src/proof/cec/cecPat.d' removed 'src/proof/cec/cecSat.d' removed 'src/proof/cec/cecSatG.d' removed 'src/proof/cec/cecSeq.d' removed 'src/proof/cec/cecSolve.d' removed 'src/proof/cec/cecSplit.d' removed 'src/proof/cec/cecSynth.d' removed 'src/proof/cec/cecSweep.d' removed 'src/proof/acec/acecCl.d' removed 'src/proof/acec/acecCore.d' removed 'src/proof/acec/acecCo.d' removed 'src/proof/acec/acecBo.d' removed 'src/proof/acec/acecRe.d' removed 'src/proof/acec/acecPa.d' removed 'src/proof/acec/acecPo.d' removed 'src/proof/acec/acecPool.d' removed 'src/proof/acec/acecCover.d' removed 'src/proof/acec/acecFadds.d' removed 'src/proof/acec/acecMult.d' removed 'src/proof/acec/acecNorm.d' removed 'src/proof/acec/acecOrder.d' removed 'src/proof/acec/acecPolyn.d' removed 'src/proof/acec/acecSt.d' removed 'src/proof/acec/acecTree.d' removed 'src/proof/acec/acecUtil.d' removed 'src/proof/acec/acec2Mult.d' removed 'src/proof/acec/acecXor.d' removed 'src/proof/dch/dchAig.d' removed 'src/proof/dch/dchChoice.d' removed 'src/proof/dch/dchClass.d' removed 'src/proof/dch/dchCnf.d' removed 'src/proof/dch/dchCore.d' removed 'src/proof/dch/dchMan.d' removed 'src/proof/dch/dchSat.d' removed 'src/proof/dch/dchSim.d' removed 'src/proof/dch/dchSimSat.d' removed 'src/proof/dch/dchSweep.d' removed 'src/proof/fraig/fraigApi.d' removed 'src/proof/fraig/fraigCanon.d' removed 'src/proof/fraig/fraigFanout.d' removed 'src/proof/fraig/fraigFeed.d' removed 'src/proof/fraig/fraigMan.d' removed 'src/proof/fraig/fraigMem.d' removed 'src/proof/fraig/fraigNode.d' removed 'src/proof/fraig/fraigPrime.d' removed 'src/proof/fraig/fraigSat.d' removed 'src/proof/fraig/fraigTable.d' removed 'src/proof/fraig/fraigUtil.d' removed 'src/proof/fraig/fraigVec.d' removed 'src/proof/fra/fraBmc.d' removed 'src/proof/fra/fraCec.d' removed 'src/proof/fra/fraClass.d' removed 'src/proof/fra/fraClau.d' removed 'src/proof/fra/fraClaus.d' removed 'src/proof/fra/fraCnf.d' removed 'src/proof/fra/fraCore.d' removed 'src/proof/fra/fraHot.d' removed 'src/proof/fra/fraImp.d' removed 'src/proof/fra/fraInd.d' removed 'src/proof/fra/fraIndVer.d' removed 'src/proof/fra/fraLcr.d' removed 'src/proof/fra/fraMan.d' removed 'src/proof/fra/fraPart.d' removed 'src/proof/fra/fraSat.d' removed 'src/proof/fra/fraSec.d' removed 'src/proof/fra/fraSim.d' removed 'src/proof/ssw/sswAig.d' removed 'src/proof/ssw/sswBmc.d' removed 'src/proof/ssw/sswClass.d' removed 'src/proof/ssw/sswCnf.d' removed 'src/proof/ssw/sswConstr.d' removed 'src/proof/ssw/sswCore.d' removed 'src/proof/ssw/sswDyn.d' removed 'src/proof/ssw/sswFilter.d' removed 'src/proof/ssw/sswIslands.d' removed 'src/proof/ssw/sswLcorr.d' removed 'src/proof/ssw/sswMan.d' removed 'src/proof/ssw/sswPart.d' removed 'src/proof/ssw/sswPairs.d' removed 'src/proof/ssw/sswRarity.d' removed 'src/proof/ssw/sswSat.d' removed 'src/proof/ssw/sswSemi.d' removed 'src/proof/ssw/sswSim.d' removed 'src/proof/ssw/sswSimSat.d' removed 'src/proof/ssw/sswSweep.d' removed 'src/proof/ssw/sswUnique.d' removed 'src/aig/aig/aigCheck.d' removed 'src/aig/aig/aigCanon.d' removed 'src/aig/aig/aigCuts.d' removed 'src/aig/aig/aigDfs.d' removed 'src/aig/aig/aigDup.d' removed 'src/aig/aig/aigFanout.d' removed 'src/aig/aig/aigFrames.d' removed 'src/aig/aig/aigInter.d' removed 'src/aig/aig/aigJust.d' removed 'src/aig/aig/aigMan.d' removed 'src/aig/aig/aigMem.d' removed 'src/aig/aig/aigMffc.d' removed 'src/aig/aig/aigObj.d' removed 'src/aig/aig/aigOper.d' removed 'src/aig/aig/aigOrder.d' removed 'src/aig/aig/aigPack.d' removed 'src/aig/aig/aigPart.d' removed 'src/aig/aig/aigPartReg.d' removed 'src/aig/aig/aigPartSat.d' removed 'src/aig/aig/aigRepr.d' removed 'src/aig/aig/aigRet.d' removed 'src/aig/aig/aigRetF.d' removed 'src/aig/aig/aigScl.d' removed 'src/aig/aig/aigShow.d' removed 'src/aig/aig/aigSplit.d' removed 'src/aig/aig/aigTable.d' removed 'src/aig/aig/aigTiming.d' removed 'src/aig/aig/aigTruth.d' removed 'src/aig/aig/aigTsim.d' removed 'src/aig/aig/aigUtil.d' removed 'src/aig/aig/aigWin.d' removed 'src/aig/saig/saigCone.d' removed 'src/aig/saig/saigConstr.d' removed 'src/aig/saig/saigConstr2.d' removed 'src/aig/saig/saigDual.d' removed 'src/aig/saig/saigDup.d' removed 'src/aig/saig/saigInd.d' removed 'src/aig/saig/saigIoa.d' removed 'src/aig/saig/saigIso.d' removed 'src/aig/saig/saigIsoFast.d' removed 'src/aig/saig/saigIsoSlow.d' removed 'src/aig/saig/saigMiter.d' removed 'src/aig/saig/saigOutDec.d' removed 'src/aig/saig/saigPhase.d' removed 'src/aig/saig/saigRetFwd.d' removed 'src/aig/saig/saigRetMin.d' removed 'src/aig/saig/saigRetStep.d' removed 'src/aig/saig/saigScl.d' removed 'src/aig/saig/saigSimFast.d' removed 'src/aig/saig/saigSimMv.d' removed 'src/aig/saig/saigSimSeq.d' removed 'src/aig/saig/saigStrSim.d' removed 'src/aig/saig/saigSwitch.d' removed 'src/aig/saig/saigSynch.d' removed 'src/aig/saig/saigTempor.d' removed 'src/aig/saig/saigTrans.d' removed 'src/aig/saig/saigWnd.d' removed 'src/aig/gia/giaAig.d' removed 'src/aig/gia/giaAgi.d' removed 'src/aig/gia/giaAiger.d' removed 'src/aig/gia/giaAigerExt.d' removed 'src/aig/gia/giaBalAig.d' removed 'src/aig/gia/giaBalLut.d' removed 'src/aig/gia/giaBalMap.d' removed 'src/aig/gia/giaBidec.d' removed 'src/aig/gia/giaCCof.d' removed 'src/aig/gia/giaCex.d' removed 'src/aig/gia/giaClp.d' removed 'src/aig/gia/giaCof.d' removed 'src/aig/gia/giaCone.d' removed 'src/aig/gia/giaCSatOld.d' removed 'src/aig/gia/giaCSat.d' removed 'src/aig/gia/giaCSat2.d' removed 'src/aig/gia/giaCTas.d' removed 'src/aig/gia/giaCut.d' removed 'src/aig/gia/giaDfs.d' removed 'src/aig/gia/giaDup.d' removed 'src/aig/gia/giaEdge.d' removed 'src/aig/gia/giaEmbed.d' removed 'src/aig/gia/giaEnable.d' removed 'src/aig/gia/giaEquiv.d' removed 'src/aig/gia/giaEra.d' removed 'src/aig/gia/giaEra2.d' removed 'src/aig/gia/giaEsop.d' removed 'src/aig/gia/giaExist.d' removed 'src/aig/gia/giaFalse.d' removed 'src/aig/gia/giaFanout.d' removed 'src/aig/gia/giaForce.d' removed 'src/aig/gia/giaFrames.d' removed 'src/aig/gia/giaFront.d' removed 'src/aig/gia/giaFx.d' removed 'src/aig/gia/giaGig.d' removed 'src/aig/gia/giaGlitch.d' removed 'src/aig/gia/giaHash.d' removed 'src/aig/gia/giaIf.d' removed 'src/aig/gia/giaIff.d' removed 'src/aig/gia/giaIiff.d' removed 'src/aig/gia/giaIso.d' removed 'src/aig/gia/giaIso2.d' removed 'src/aig/gia/giaIso3.d' removed 'src/aig/gia/giaJf.d' removed 'src/aig/gia/giaKf.d' removed 'src/aig/gia/giaLf.d' removed 'src/aig/gia/giaMf.d' removed 'src/aig/gia/giaMan.d' removed 'src/aig/gia/giaMem.d' removed 'src/aig/gia/giaMfs.d' removed 'src/aig/gia/giaMini.d' removed 'src/aig/gia/giaMuxes.d' removed 'src/aig/gia/giaNf.d' removed 'src/aig/gia/giaOf.d' removed 'src/aig/gia/giaPack.d' removed 'src/aig/gia/giaPat.d' removed 'src/aig/gia/giaPf.d' removed 'src/aig/gia/giaQbf.d' removed 'src/aig/gia/giaResub.d' removed 'src/aig/gia/giaRetime.d' removed 'src/aig/gia/giaRex.d' removed 'src/aig/gia/giaSatEdge.d' removed 'src/aig/gia/giaSatLE.d' removed 'src/aig/gia/giaSatLut.d' removed 'src/aig/gia/giaSatMap.d' removed 'src/aig/gia/giaSatoko.d' removed 'src/aig/gia/giaSat3.d' removed 'src/aig/gia/giaScl.d' removed 'src/aig/gia/giaScript.d' removed 'src/aig/gia/giaShow.d' removed 'src/aig/gia/giaShrink.d' removed 'src/aig/gia/giaShrink6.d' removed 'src/aig/gia/giaShrink7.d' removed 'src/aig/gia/giaSim.d' removed 'src/aig/gia/giaSim2.d' removed 'src/aig/gia/giaSort.d' removed 'src/aig/gia/giaSpeedup.d' removed 'src/aig/gia/giaSplit.d' removed 'src/aig/gia/giaStg.d' removed 'src/aig/gia/giaStr.d' removed 'src/aig/gia/giaSupMin.d' removed 'src/aig/gia/giaSupp.d' removed 'src/aig/gia/giaSweep.d' removed 'src/aig/gia/giaSweeper.d' removed 'src/aig/gia/giaSwitch.d' removed 'src/aig/gia/giaTim.d' removed 'src/aig/gia/giaTis.d' removed 'src/aig/gia/giaTruth.d' removed 'src/aig/gia/giaTsim.d' removed 'src/aig/gia/giaUnate.d' removed 'src/aig/gia/giaUtil.d' removed 'src/aig/ioa/ioaReadAig.d' removed 'src/aig/ioa/ioaWriteAig.d' removed 'src/aig/ioa/ioaUtil.d' removed 'src/aig/ivy/ivyBalance.d' removed 'src/aig/ivy/ivyCanon.d' removed 'src/aig/ivy/ivyCheck.d' removed 'src/aig/ivy/ivyCut.d' removed 'src/aig/ivy/ivyCutTrav.d' removed 'src/aig/ivy/ivyDfs.d' removed 'src/aig/ivy/ivyDsd.d' removed 'src/aig/ivy/ivyFanout.d' removed 'src/aig/ivy/ivyFastMap.d' removed 'src/aig/ivy/ivyFraig.d' removed 'src/aig/ivy/ivyHaig.d' removed 'src/aig/ivy/ivyMan.d' removed 'src/aig/ivy/ivyMem.d' removed 'src/aig/ivy/ivyMulti.d' removed 'src/aig/ivy/ivyObj.d' removed 'src/aig/ivy/ivyOper.d' removed 'src/aig/ivy/ivyResyn.d' removed 'src/aig/ivy/ivyRwr.d' removed 'src/aig/ivy/ivySeq.d' removed 'src/aig/ivy/ivyShow.d' removed 'src/aig/ivy/ivyTable.d' removed 'src/aig/ivy/ivyUtil.d' removed 'src/aig/hop/hopBalance.d' removed 'src/aig/hop/hopCheck.d' removed 'src/aig/hop/hopDfs.d' removed 'src/aig/hop/hopMan.d' removed 'src/aig/hop/hopMem.d' removed 'src/aig/hop/hopObj.d' removed 'src/aig/hop/hopOper.d' removed 'src/aig/hop/hopTable.d' removed 'src/aig/hop/hopTruth.d' removed 'src/aig/hop/hopUtil.d' removed 'src/bdd/cudd/cuddAPI.d' removed 'src/bdd/cudd/cuddAddAbs.d' removed 'src/bdd/cudd/cuddAddApply.d' removed 'src/bdd/cudd/cuddAddFind.d' removed 'src/bdd/cudd/cuddAddInv.d' removed 'src/bdd/cudd/cuddAddIte.d' removed 'src/bdd/cudd/cuddAddNeg.d' removed 'src/bdd/cudd/cuddAddWalsh.d' removed 'src/bdd/cudd/cuddAndAbs.d' removed 'src/bdd/cudd/cuddAnneal.d' removed 'src/bdd/cudd/cuddApa.d' removed 'src/bdd/cudd/cuddApprox.d' removed 'src/bdd/cudd/cuddBddAbs.d' removed 'src/bdd/cudd/cuddBddCorr.d' removed 'src/bdd/cudd/cuddBddIte.d' removed 'src/bdd/cudd/cuddBridge.d' removed 'src/bdd/cudd/cuddCache.d' removed 'src/bdd/cudd/cuddCheck.d' removed 'src/bdd/cudd/cuddClip.d' removed 'src/bdd/cudd/cuddCof.d' removed 'src/bdd/cudd/cuddCompose.d' removed 'src/bdd/cudd/cuddDecomp.d' removed 'src/bdd/cudd/cuddEssent.d' removed 'src/bdd/cudd/cuddExact.d' removed 'src/bdd/cudd/cuddExport.d' removed 'src/bdd/cudd/cuddGenCof.d' removed 'src/bdd/cudd/cuddGenetic.d' removed 'src/bdd/cudd/cuddGroup.d' removed 'src/bdd/cudd/cuddHarwell.d' removed 'src/bdd/cudd/cuddInit.d' removed 'src/bdd/cudd/cuddInteract.d' removed 'src/bdd/cudd/cuddLCache.d' removed 'src/bdd/cudd/cuddLevelQ.d' removed 'src/bdd/cudd/cuddLinear.d' removed 'src/bdd/cudd/cuddLiteral.d' removed 'src/bdd/cudd/cuddMatMult.d' removed 'src/bdd/cudd/cuddPriority.d' removed 'src/bdd/cudd/cuddRead.d' removed 'src/bdd/cudd/cuddRef.d' removed 'src/bdd/cudd/cuddReorder.d' removed 'src/bdd/cudd/cuddSat.d' removed 'src/bdd/cudd/cuddSign.d' removed 'src/bdd/cudd/cuddSolve.d' removed 'src/bdd/cudd/cuddSplit.d' removed 'src/bdd/cudd/cuddSubsetHB.d' removed 'src/bdd/cudd/cuddSubsetSP.d' removed 'src/bdd/cudd/cuddSymmetry.d' removed 'src/bdd/cudd/cuddTable.d' removed 'src/bdd/cudd/cuddUtil.d' removed 'src/bdd/cudd/cuddWindow.d' removed 'src/bdd/cudd/cuddZddCount.d' removed 'src/bdd/cudd/cuddZddFuncs.d' removed 'src/bdd/cudd/cuddZddGroup.d' removed 'src/bdd/cudd/cuddZddIsop.d' removed 'src/bdd/cudd/cuddZddLin.d' removed 'src/bdd/cudd/cuddZddMisc.d' removed 'src/bdd/cudd/cuddZddPort.d' removed 'src/bdd/cudd/cuddZddReord.d' removed 'src/bdd/cudd/cuddZddSetop.d' removed 'src/bdd/cudd/cuddZddSymm.d' removed 'src/bdd/cudd/cuddZddUtil.d' removed 'src/bdd/extrab/extraBddAuto.d' removed 'src/bdd/extrab/extraBddCas.d' removed 'src/bdd/extrab/extraBddImage.d' removed 'src/bdd/extrab/extraBddKmap.d' removed 'src/bdd/extrab/extraBddMaxMin.d' removed 'src/bdd/extrab/extraBddMisc.d' removed 'src/bdd/extrab/extraBddSet.d' removed 'src/bdd/extrab/extraBddSymm.d' removed 'src/bdd/extrab/extraBddThresh.d' removed 'src/bdd/extrab/extraBddTime.d' removed 'src/bdd/extrab/extraBddUnate.d' removed 'src/bdd/dsd/dsdApi.d' removed 'src/bdd/dsd/dsdCheck.d' removed 'src/bdd/dsd/dsdLocal.d' removed 'src/bdd/dsd/dsdMan.d' removed 'src/bdd/dsd/dsdProc.d' removed 'src/bdd/dsd/dsdTree.d' removed 'src/bdd/epd/epd.d' removed 'src/bdd/mtr/mtrBasic.d' removed 'src/bdd/mtr/mtrGroup.d' removed 'src/bdd/reo/reoApi.d' removed 'src/bdd/reo/reoCore.d' removed 'src/bdd/reo/reoProfile.d' removed 'src/bdd/reo/reoShuffle.d' removed 'src/bdd/reo/reoSift.d' removed 'src/bdd/reo/reoSwap.d' removed 'src/bdd/reo/reoTransfer.d' removed 'src/bdd/reo/reoUnits.d' removed 'src/bdd/cas/casCore.d' removed 'src/bdd/cas/casDec.d' removed 'src/bdd/bbr/bbrCex.d' removed 'src/bdd/bbr/bbrImage.d' removed 'src/bdd/bbr/bbrNtbdd.d' removed 'src/bdd/bbr/bbrReach.d' removed 'src/bdd/llb/llb1Cluster.d' removed 'src/bdd/llb/llb1Constr.d' removed 'src/bdd/llb/llb1Core.d' removed 'src/bdd/llb/llb1Group.d' removed 'src/bdd/llb/llb1Hint.d' removed 'src/bdd/llb/llb1Man.d' removed 'src/bdd/llb/llb1Matrix.d' removed 'src/bdd/llb/llb1Pivot.d' removed 'src/bdd/llb/llb1Reach.d' removed 'src/bdd/llb/llb1Sched.d' removed 'src/bdd/llb/llb2Bad.d' removed 'src/bdd/llb/llb2Core.d' removed 'src/bdd/llb/llb2Driver.d' removed 'src/bdd/llb/llb2Dump.d' removed 'src/bdd/llb/llb2Flow.d' removed 'src/bdd/llb/llb2Image.d' removed 'src/bdd/llb/llb3Image.d' removed 'src/bdd/llb/llb3Nonlin.d' removed 'src/bdd/llb/llb4Cex.d' removed 'src/bdd/llb/llb4Image.d' removed 'src/bdd/llb/llb4Nonlin.d' removed 'src/bdd/llb/llb4Sweep.d' make[2]: Leaving directory '/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg' make[1]: Leaving directory '/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg' dh_clean debian/rules build-arch dh build-arch dh_update_autotools_config -a dh_autoreconf -a dh_auto_configure -a debian/rules override_dh_auto_build make[1]: Entering directory '/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg' dh_auto_build -- PROG="abc" MSG_PREFIX="ABC: " ABC_MAKE_VERBOSE=1 ABC_USE_STDINT_H=1 make -j4 "INSTALL=install --strip-program=true" PROG=abc "MSG_PREFIX=ABC: " ABC_MAKE_VERBOSE=1 ABC_USE_STDINT_H=1 make[2]: Entering directory '/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg' ABC: Using CC=gcc ABC: Using CXX=g++ ABC: Using LD=g++ ABC: Compiling with CUDD ABC: Using libreadline ABC: Using pthreads ABC: Found GCC_VERSION 9 ABC: Found GCC_MAJOR>=5 ABC: Using CFLAGS=-g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable ABC: `` Generating dependency: /src/bdd/llb/llb4Sweep.c ABC: `` Generating dependency: /src/bdd/llb/llb4Nonlin.c ./depends.sh gcc `dirname src/bdd/llb/llb4Sweep.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Sweep.c > src/bdd/llb/llb4Sweep.d ABC: `` Generating dependency: /src/bdd/llb/llb4Image.c ./depends.sh gcc `dirname src/bdd/llb/llb4Nonlin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Nonlin.c > src/bdd/llb/llb4Nonlin.d ABC: `` Generating dependency: /src/bdd/llb/llb4Cex.c ./depends.sh gcc `dirname src/bdd/llb/llb4Image.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Image.c > src/bdd/llb/llb4Image.d ./depends.sh gcc `dirname src/bdd/llb/llb4Cex.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Cex.c > src/bdd/llb/llb4Cex.d ABC: `` Generating dependency: /src/bdd/llb/llb3Nonlin.c ./depends.sh gcc `dirname src/bdd/llb/llb3Nonlin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb3Nonlin.c > src/bdd/llb/llb3Nonlin.d ABC: `` Generating dependency: /src/bdd/llb/llb3Image.c ./depends.sh gcc `dirname src/bdd/llb/llb3Image.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb3Image.c > src/bdd/llb/llb3Image.d ABC: `` Generating dependency: /src/bdd/llb/llb2Image.c ./depends.sh gcc `dirname src/bdd/llb/llb2Image.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Image.c > src/bdd/llb/llb2Image.d ABC: `` Generating dependency: /src/bdd/llb/llb2Flow.c ./depends.sh gcc `dirname src/bdd/llb/llb2Flow.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Flow.c > src/bdd/llb/llb2Flow.d ABC: `` Generating dependency: /src/bdd/llb/llb2Dump.c ./depends.sh gcc `dirname src/bdd/llb/llb2Dump.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Dump.c > src/bdd/llb/llb2Dump.d ABC: `` Generating dependency: /src/bdd/llb/llb2Driver.c ./depends.sh gcc `dirname src/bdd/llb/llb2Driver.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Driver.c > src/bdd/llb/llb2Driver.d ABC: `` Generating dependency: /src/bdd/llb/llb2Core.c ./depends.sh gcc `dirname src/bdd/llb/llb2Core.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Core.c > src/bdd/llb/llb2Core.d ABC: `` Generating dependency: /src/bdd/llb/llb2Bad.c ./depends.sh gcc `dirname src/bdd/llb/llb2Bad.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Bad.c > src/bdd/llb/llb2Bad.d ABC: `` Generating dependency: /src/bdd/llb/llb1Sched.c ./depends.sh gcc `dirname src/bdd/llb/llb1Sched.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Sched.c > src/bdd/llb/llb1Sched.d ABC: `` Generating dependency: /src/bdd/llb/llb1Reach.c ./depends.sh gcc `dirname src/bdd/llb/llb1Reach.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Reach.c > src/bdd/llb/llb1Reach.d ABC: `` Generating dependency: /src/bdd/llb/llb1Pivot.c ./depends.sh gcc `dirname src/bdd/llb/llb1Pivot.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Pivot.c > src/bdd/llb/llb1Pivot.d ABC: `` Generating dependency: /src/bdd/llb/llb1Matrix.c ./depends.sh gcc `dirname src/bdd/llb/llb1Matrix.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Matrix.c > src/bdd/llb/llb1Matrix.d ABC: `` Generating dependency: /src/bdd/llb/llb1Man.c ./depends.sh gcc `dirname src/bdd/llb/llb1Man.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Man.c > src/bdd/llb/llb1Man.d ABC: `` Generating dependency: /src/bdd/llb/llb1Hint.c ./depends.sh gcc `dirname src/bdd/llb/llb1Hint.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Hint.c > src/bdd/llb/llb1Hint.d ABC: `` Generating dependency: /src/bdd/llb/llb1Group.c ./depends.sh gcc `dirname src/bdd/llb/llb1Group.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Group.c > src/bdd/llb/llb1Group.d ABC: `` Generating dependency: /src/bdd/llb/llb1Core.c ./depends.sh gcc `dirname src/bdd/llb/llb1Core.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Core.c > src/bdd/llb/llb1Core.d ABC: `` Generating dependency: /src/bdd/llb/llb1Constr.c ./depends.sh gcc `dirname src/bdd/llb/llb1Constr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Constr.c > src/bdd/llb/llb1Constr.d ABC: `` Generating dependency: /src/bdd/llb/llb1Cluster.c ./depends.sh gcc `dirname src/bdd/llb/llb1Cluster.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Cluster.c > src/bdd/llb/llb1Cluster.d ABC: `` Generating dependency: /src/bdd/bbr/bbrReach.c ./depends.sh gcc `dirname src/bdd/bbr/bbrReach.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrReach.c > src/bdd/bbr/bbrReach.d ABC: `` Generating dependency: /src/bdd/bbr/bbrNtbdd.c ./depends.sh gcc `dirname src/bdd/bbr/bbrNtbdd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrNtbdd.c > src/bdd/bbr/bbrNtbdd.d ABC: `` Generating dependency: /src/bdd/bbr/bbrImage.c ./depends.sh gcc `dirname src/bdd/bbr/bbrImage.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrImage.c > src/bdd/bbr/bbrImage.d ABC: `` Generating dependency: /src/bdd/bbr/bbrCex.c ./depends.sh gcc `dirname src/bdd/bbr/bbrCex.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrCex.c > src/bdd/bbr/bbrCex.d ABC: `` Generating dependency: /src/bdd/cas/casDec.c ./depends.sh gcc `dirname src/bdd/cas/casDec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cas/casDec.c > src/bdd/cas/casDec.d ABC: `` Generating dependency: /src/bdd/cas/casCore.c ABC: `` Generating dependency: /src/bdd/reo/reoUnits.c ./depends.sh gcc `dirname src/bdd/cas/casCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cas/casCore.c > src/bdd/cas/casCore.d ./depends.sh gcc `dirname src/bdd/reo/reoUnits.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoUnits.c > src/bdd/reo/reoUnits.d ABC: `` Generating dependency: /src/bdd/reo/reoTransfer.c ./depends.sh gcc `dirname src/bdd/reo/reoTransfer.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoTransfer.c > src/bdd/reo/reoTransfer.d ABC: `` Generating dependency: /src/bdd/reo/reoSwap.c ./depends.sh gcc `dirname src/bdd/reo/reoSwap.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoSwap.c > src/bdd/reo/reoSwap.d ABC: `` Generating dependency: /src/bdd/reo/reoSift.c ./depends.sh gcc `dirname src/bdd/reo/reoSift.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoSift.c > src/bdd/reo/reoSift.d ABC: `` Generating dependency: /src/bdd/reo/reoShuffle.c ./depends.sh gcc `dirname src/bdd/reo/reoShuffle.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoShuffle.c > src/bdd/reo/reoShuffle.d ABC: `` Generating dependency: /src/bdd/reo/reoProfile.c ./depends.sh gcc `dirname src/bdd/reo/reoProfile.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoProfile.c > src/bdd/reo/reoProfile.d ABC: `` Generating dependency: /src/bdd/reo/reoCore.c ./depends.sh gcc `dirname src/bdd/reo/reoCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoCore.c > src/bdd/reo/reoCore.d ABC: `` Generating dependency: /src/bdd/reo/reoApi.c ./depends.sh gcc `dirname src/bdd/reo/reoApi.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoApi.c > src/bdd/reo/reoApi.d ABC: `` Generating dependency: /src/bdd/mtr/mtrGroup.c ./depends.sh gcc `dirname src/bdd/mtr/mtrGroup.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/mtr/mtrGroup.c > src/bdd/mtr/mtrGroup.d ABC: `` Generating dependency: /src/bdd/mtr/mtrBasic.c ./depends.sh gcc `dirname src/bdd/mtr/mtrBasic.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/mtr/mtrBasic.c > src/bdd/mtr/mtrBasic.d ABC: `` Generating dependency: /src/bdd/epd/epd.c ./depends.sh gcc `dirname src/bdd/epd/epd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/epd/epd.c > src/bdd/epd/epd.d ABC: `` Generating dependency: /src/bdd/dsd/dsdTree.c ./depends.sh gcc `dirname src/bdd/dsd/dsdTree.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdTree.c > src/bdd/dsd/dsdTree.d ABC: `` Generating dependency: /src/bdd/dsd/dsdProc.c ./depends.sh gcc `dirname src/bdd/dsd/dsdProc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdProc.c > src/bdd/dsd/dsdProc.d ABC: `` Generating dependency: /src/bdd/dsd/dsdMan.c ./depends.sh gcc `dirname src/bdd/dsd/dsdMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdMan.c > src/bdd/dsd/dsdMan.d ABC: `` Generating dependency: /src/bdd/dsd/dsdLocal.c ./depends.sh gcc `dirname src/bdd/dsd/dsdLocal.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdLocal.c > src/bdd/dsd/dsdLocal.d ABC: `` Generating dependency: /src/bdd/dsd/dsdCheck.c ./depends.sh gcc `dirname src/bdd/dsd/dsdCheck.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdCheck.c > src/bdd/dsd/dsdCheck.d ABC: `` Generating dependency: /src/bdd/dsd/dsdApi.c ./depends.sh gcc `dirname src/bdd/dsd/dsdApi.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdApi.c > src/bdd/dsd/dsdApi.d ABC: `` Generating dependency: /src/bdd/extrab/extraBddUnate.c ./depends.sh gcc `dirname src/bdd/extrab/extraBddUnate.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddUnate.c > src/bdd/extrab/extraBddUnate.d ABC: `` Generating dependency: /src/bdd/extrab/extraBddTime.c ./depends.sh gcc `dirname src/bdd/extrab/extraBddTime.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddTime.c > src/bdd/extrab/extraBddTime.d ABC: `` Generating dependency: /src/bdd/extrab/extraBddThresh.c ./depends.sh gcc `dirname src/bdd/extrab/extraBddThresh.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddThresh.c > src/bdd/extrab/extraBddThresh.d ABC: `` Generating dependency: /src/bdd/extrab/extraBddSymm.c ./depends.sh gcc `dirname src/bdd/extrab/extraBddSymm.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddSymm.c > src/bdd/extrab/extraBddSymm.d ABC: `` Generating dependency: /src/bdd/extrab/extraBddSet.c ./depends.sh gcc `dirname src/bdd/extrab/extraBddSet.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddSet.c > src/bdd/extrab/extraBddSet.d ABC: `` Generating dependency: /src/bdd/extrab/extraBddMisc.c ./depends.sh gcc `dirname src/bdd/extrab/extraBddMisc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddMisc.c > src/bdd/extrab/extraBddMisc.d ABC: `` Generating dependency: /src/bdd/extrab/extraBddMaxMin.c ./depends.sh gcc `dirname src/bdd/extrab/extraBddMaxMin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddMaxMin.c > src/bdd/extrab/extraBddMaxMin.d ABC: `` Generating dependency: /src/bdd/extrab/extraBddKmap.c ./depends.sh gcc `dirname src/bdd/extrab/extraBddKmap.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddKmap.c > src/bdd/extrab/extraBddKmap.d ABC: `` Generating dependency: /src/bdd/extrab/extraBddImage.c ./depends.sh gcc `dirname src/bdd/extrab/extraBddImage.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddImage.c > src/bdd/extrab/extraBddImage.d ABC: `` Generating dependency: /src/bdd/extrab/extraBddCas.c ./depends.sh gcc `dirname src/bdd/extrab/extraBddCas.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddCas.c > src/bdd/extrab/extraBddCas.d ABC: `` Generating dependency: /src/bdd/extrab/extraBddAuto.c ./depends.sh gcc `dirname src/bdd/extrab/extraBddAuto.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddAuto.c > src/bdd/extrab/extraBddAuto.d ABC: `` Generating dependency: /src/bdd/cudd/cuddZddUtil.c ./depends.sh gcc `dirname src/bdd/cudd/cuddZddUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddUtil.c > src/bdd/cudd/cuddZddUtil.d ABC: `` Generating dependency: /src/bdd/cudd/cuddZddSymm.c ./depends.sh gcc `dirname src/bdd/cudd/cuddZddSymm.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddSymm.c > src/bdd/cudd/cuddZddSymm.d ABC: `` Generating dependency: /src/bdd/cudd/cuddZddSetop.c ./depends.sh gcc `dirname src/bdd/cudd/cuddZddSetop.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddSetop.c > src/bdd/cudd/cuddZddSetop.d ABC: `` Generating dependency: /src/bdd/cudd/cuddZddReord.c ./depends.sh gcc `dirname src/bdd/cudd/cuddZddReord.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddReord.c > src/bdd/cudd/cuddZddReord.d ABC: `` Generating dependency: /src/bdd/cudd/cuddZddPort.c ./depends.sh gcc `dirname src/bdd/cudd/cuddZddPort.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddPort.c > src/bdd/cudd/cuddZddPort.d ABC: `` Generating dependency: /src/bdd/cudd/cuddZddMisc.c ./depends.sh gcc `dirname src/bdd/cudd/cuddZddMisc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddMisc.c > src/bdd/cudd/cuddZddMisc.d ABC: `` Generating dependency: /src/bdd/cudd/cuddZddLin.c ./depends.sh gcc `dirname src/bdd/cudd/cuddZddLin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddLin.c > src/bdd/cudd/cuddZddLin.d ABC: `` Generating dependency: /src/bdd/cudd/cuddZddIsop.c ./depends.sh gcc `dirname src/bdd/cudd/cuddZddIsop.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddIsop.c > src/bdd/cudd/cuddZddIsop.d ABC: `` Generating dependency: /src/bdd/cudd/cuddZddGroup.c ./depends.sh gcc `dirname src/bdd/cudd/cuddZddGroup.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddGroup.c > src/bdd/cudd/cuddZddGroup.d ABC: `` Generating dependency: /src/bdd/cudd/cuddZddFuncs.c ./depends.sh gcc `dirname src/bdd/cudd/cuddZddFuncs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddFuncs.c > src/bdd/cudd/cuddZddFuncs.d ABC: `` Generating dependency: /src/bdd/cudd/cuddZddCount.c ./depends.sh gcc `dirname src/bdd/cudd/cuddZddCount.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddCount.c > src/bdd/cudd/cuddZddCount.d ABC: `` Generating dependency: /src/bdd/cudd/cuddWindow.c ./depends.sh gcc `dirname src/bdd/cudd/cuddWindow.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddWindow.c > src/bdd/cudd/cuddWindow.d ABC: `` Generating dependency: /src/bdd/cudd/cuddUtil.c ./depends.sh gcc `dirname src/bdd/cudd/cuddUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddUtil.c > src/bdd/cudd/cuddUtil.d ABC: `` Generating dependency: /src/bdd/cudd/cuddTable.c ./depends.sh gcc `dirname src/bdd/cudd/cuddTable.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddTable.c > src/bdd/cudd/cuddTable.d ABC: `` Generating dependency: /src/bdd/cudd/cuddSymmetry.c ./depends.sh gcc `dirname src/bdd/cudd/cuddSymmetry.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSymmetry.c > src/bdd/cudd/cuddSymmetry.d ABC: `` Generating dependency: /src/bdd/cudd/cuddSubsetSP.c ./depends.sh gcc `dirname src/bdd/cudd/cuddSubsetSP.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSubsetSP.c > src/bdd/cudd/cuddSubsetSP.d ABC: `` Generating dependency: /src/bdd/cudd/cuddSubsetHB.c ./depends.sh gcc `dirname src/bdd/cudd/cuddSubsetHB.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSubsetHB.c > src/bdd/cudd/cuddSubsetHB.d ABC: `` Generating dependency: /src/bdd/cudd/cuddSplit.c ./depends.sh gcc `dirname src/bdd/cudd/cuddSplit.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSplit.c > src/bdd/cudd/cuddSplit.d ABC: `` Generating dependency: /src/bdd/cudd/cuddSolve.c ./depends.sh gcc `dirname src/bdd/cudd/cuddSolve.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSolve.c > src/bdd/cudd/cuddSolve.d ABC: `` Generating dependency: /src/bdd/cudd/cuddSign.c ./depends.sh gcc `dirname src/bdd/cudd/cuddSign.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSign.c > src/bdd/cudd/cuddSign.d ABC: `` Generating dependency: /src/bdd/cudd/cuddSat.c ./depends.sh gcc `dirname src/bdd/cudd/cuddSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSat.c > src/bdd/cudd/cuddSat.d ABC: `` Generating dependency: /src/bdd/cudd/cuddReorder.c ./depends.sh gcc `dirname src/bdd/cudd/cuddReorder.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddReorder.c > src/bdd/cudd/cuddReorder.d ABC: `` Generating dependency: /src/bdd/cudd/cuddRef.c ./depends.sh gcc `dirname src/bdd/cudd/cuddRef.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddRef.c > src/bdd/cudd/cuddRef.d ABC: `` Generating dependency: /src/bdd/cudd/cuddRead.c ./depends.sh gcc `dirname src/bdd/cudd/cuddRead.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddRead.c > src/bdd/cudd/cuddRead.d ABC: `` Generating dependency: /src/bdd/cudd/cuddPriority.c ./depends.sh gcc `dirname src/bdd/cudd/cuddPriority.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddPriority.c > src/bdd/cudd/cuddPriority.d ABC: `` Generating dependency: /src/bdd/cudd/cuddMatMult.c ./depends.sh gcc `dirname src/bdd/cudd/cuddMatMult.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddMatMult.c > src/bdd/cudd/cuddMatMult.d ABC: `` Generating dependency: /src/bdd/cudd/cuddLiteral.c ./depends.sh gcc `dirname src/bdd/cudd/cuddLiteral.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLiteral.c > src/bdd/cudd/cuddLiteral.d ABC: `` Generating dependency: /src/bdd/cudd/cuddLinear.c ./depends.sh gcc `dirname src/bdd/cudd/cuddLinear.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLinear.c > src/bdd/cudd/cuddLinear.d ABC: `` Generating dependency: /src/bdd/cudd/cuddLevelQ.c ./depends.sh gcc `dirname src/bdd/cudd/cuddLevelQ.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLevelQ.c > src/bdd/cudd/cuddLevelQ.d ABC: `` Generating dependency: /src/bdd/cudd/cuddLCache.c ./depends.sh gcc `dirname src/bdd/cudd/cuddLCache.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLCache.c > src/bdd/cudd/cuddLCache.d ABC: `` Generating dependency: /src/bdd/cudd/cuddInteract.c ./depends.sh gcc `dirname src/bdd/cudd/cuddInteract.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddInteract.c > src/bdd/cudd/cuddInteract.d ABC: `` Generating dependency: /src/bdd/cudd/cuddInit.c ./depends.sh gcc `dirname src/bdd/cudd/cuddInit.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddInit.c > src/bdd/cudd/cuddInit.d ABC: `` Generating dependency: /src/bdd/cudd/cuddHarwell.c ./depends.sh gcc `dirname src/bdd/cudd/cuddHarwell.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddHarwell.c > src/bdd/cudd/cuddHarwell.d ABC: `` Generating dependency: /src/bdd/cudd/cuddGroup.c ./depends.sh gcc `dirname src/bdd/cudd/cuddGroup.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGroup.c > src/bdd/cudd/cuddGroup.d ABC: `` Generating dependency: /src/bdd/cudd/cuddGenetic.c ./depends.sh gcc `dirname src/bdd/cudd/cuddGenetic.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGenetic.c > src/bdd/cudd/cuddGenetic.d ABC: `` Generating dependency: /src/bdd/cudd/cuddGenCof.c ./depends.sh gcc `dirname src/bdd/cudd/cuddGenCof.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGenCof.c > src/bdd/cudd/cuddGenCof.d ABC: `` Generating dependency: /src/bdd/cudd/cuddExport.c ./depends.sh gcc `dirname src/bdd/cudd/cuddExport.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddExport.c > src/bdd/cudd/cuddExport.d ABC: `` Generating dependency: /src/bdd/cudd/cuddExact.c ./depends.sh gcc `dirname src/bdd/cudd/cuddExact.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddExact.c > src/bdd/cudd/cuddExact.d ABC: `` Generating dependency: /src/bdd/cudd/cuddEssent.c ./depends.sh gcc `dirname src/bdd/cudd/cuddEssent.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddEssent.c > src/bdd/cudd/cuddEssent.d ABC: `` Generating dependency: /src/bdd/cudd/cuddDecomp.c ABC: `` Generating dependency: /src/bdd/cudd/cuddCompose.c ./depends.sh gcc `dirname src/bdd/cudd/cuddDecomp.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddDecomp.c > src/bdd/cudd/cuddDecomp.d ./depends.sh gcc `dirname src/bdd/cudd/cuddCompose.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCompose.c > src/bdd/cudd/cuddCompose.d ABC: `` Generating dependency: /src/bdd/cudd/cuddCof.c ./depends.sh gcc `dirname src/bdd/cudd/cuddCof.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCof.c > src/bdd/cudd/cuddCof.d ABC: `` Generating dependency: /src/bdd/cudd/cuddClip.c ./depends.sh gcc `dirname src/bdd/cudd/cuddClip.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddClip.c > src/bdd/cudd/cuddClip.d ABC: `` Generating dependency: /src/bdd/cudd/cuddCheck.c ./depends.sh gcc `dirname src/bdd/cudd/cuddCheck.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCheck.c > src/bdd/cudd/cuddCheck.d ABC: `` Generating dependency: /src/bdd/cudd/cuddCache.c ./depends.sh gcc `dirname src/bdd/cudd/cuddCache.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCache.c > src/bdd/cudd/cuddCache.d ABC: `` Generating dependency: /src/bdd/cudd/cuddBridge.c ./depends.sh gcc `dirname src/bdd/cudd/cuddBridge.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBridge.c > src/bdd/cudd/cuddBridge.d ABC: `` Generating dependency: /src/bdd/cudd/cuddBddIte.c ./depends.sh gcc `dirname src/bdd/cudd/cuddBddIte.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddIte.c > src/bdd/cudd/cuddBddIte.d ABC: `` Generating dependency: /src/bdd/cudd/cuddBddCorr.c ./depends.sh gcc `dirname src/bdd/cudd/cuddBddCorr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddCorr.c > src/bdd/cudd/cuddBddCorr.d ABC: `` Generating dependency: /src/bdd/cudd/cuddBddAbs.c ./depends.sh gcc `dirname src/bdd/cudd/cuddBddAbs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddAbs.c > src/bdd/cudd/cuddBddAbs.d ABC: `` Generating dependency: /src/bdd/cudd/cuddApprox.c ./depends.sh gcc `dirname src/bdd/cudd/cuddApprox.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddApprox.c > src/bdd/cudd/cuddApprox.d ABC: `` Generating dependency: /src/bdd/cudd/cuddApa.c ./depends.sh gcc `dirname src/bdd/cudd/cuddApa.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddApa.c > src/bdd/cudd/cuddApa.d ABC: `` Generating dependency: /src/bdd/cudd/cuddAnneal.c ./depends.sh gcc `dirname src/bdd/cudd/cuddAnneal.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAnneal.c > src/bdd/cudd/cuddAnneal.d ABC: `` Generating dependency: /src/bdd/cudd/cuddAndAbs.c ./depends.sh gcc `dirname src/bdd/cudd/cuddAndAbs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAndAbs.c > src/bdd/cudd/cuddAndAbs.d ABC: `` Generating dependency: /src/bdd/cudd/cuddAddWalsh.c ./depends.sh gcc `dirname src/bdd/cudd/cuddAddWalsh.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddWalsh.c > src/bdd/cudd/cuddAddWalsh.d ABC: `` Generating dependency: /src/bdd/cudd/cuddAddNeg.c ./depends.sh gcc `dirname src/bdd/cudd/cuddAddNeg.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddNeg.c > src/bdd/cudd/cuddAddNeg.d ABC: `` Generating dependency: /src/bdd/cudd/cuddAddIte.c ./depends.sh gcc `dirname src/bdd/cudd/cuddAddIte.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddIte.c > src/bdd/cudd/cuddAddIte.d ABC: `` Generating dependency: /src/bdd/cudd/cuddAddInv.c ./depends.sh gcc `dirname src/bdd/cudd/cuddAddInv.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddInv.c > src/bdd/cudd/cuddAddInv.d ABC: `` Generating dependency: /src/bdd/cudd/cuddAddFind.c ./depends.sh gcc `dirname src/bdd/cudd/cuddAddFind.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddFind.c > src/bdd/cudd/cuddAddFind.d ABC: `` Generating dependency: /src/bdd/cudd/cuddAddApply.c ./depends.sh gcc `dirname src/bdd/cudd/cuddAddApply.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddApply.c > src/bdd/cudd/cuddAddApply.d ABC: `` Generating dependency: /src/bdd/cudd/cuddAddAbs.c ./depends.sh gcc `dirname src/bdd/cudd/cuddAddAbs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddAbs.c > src/bdd/cudd/cuddAddAbs.d ABC: `` Generating dependency: /src/bdd/cudd/cuddAPI.c ./depends.sh gcc `dirname src/bdd/cudd/cuddAPI.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAPI.c > src/bdd/cudd/cuddAPI.d ABC: `` Generating dependency: /src/aig/hop/hopUtil.c ./depends.sh gcc `dirname src/aig/hop/hopUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopUtil.c > src/aig/hop/hopUtil.d ABC: `` Generating dependency: /src/aig/hop/hopTruth.c ./depends.sh gcc `dirname src/aig/hop/hopTruth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopTruth.c > src/aig/hop/hopTruth.d ABC: `` Generating dependency: /src/aig/hop/hopTable.c ./depends.sh gcc `dirname src/aig/hop/hopTable.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopTable.c > src/aig/hop/hopTable.d ABC: `` Generating dependency: /src/aig/hop/hopOper.c ./depends.sh gcc `dirname src/aig/hop/hopOper.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopOper.c > src/aig/hop/hopOper.d ABC: `` Generating dependency: /src/aig/hop/hopObj.c ./depends.sh gcc `dirname src/aig/hop/hopObj.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopObj.c > src/aig/hop/hopObj.d ABC: `` Generating dependency: /src/aig/hop/hopMem.c ./depends.sh gcc `dirname src/aig/hop/hopMem.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopMem.c > src/aig/hop/hopMem.d ABC: `` Generating dependency: /src/aig/hop/hopMan.c ./depends.sh gcc `dirname src/aig/hop/hopMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopMan.c > src/aig/hop/hopMan.d ABC: `` Generating dependency: /src/aig/hop/hopDfs.c ./depends.sh gcc `dirname src/aig/hop/hopDfs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopDfs.c > src/aig/hop/hopDfs.d ABC: `` Generating dependency: /src/aig/hop/hopCheck.c ./depends.sh gcc `dirname src/aig/hop/hopCheck.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopCheck.c > src/aig/hop/hopCheck.d ABC: `` Generating dependency: /src/aig/hop/hopBalance.c ./depends.sh gcc `dirname src/aig/hop/hopBalance.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopBalance.c > src/aig/hop/hopBalance.d ABC: `` Generating dependency: /src/aig/ivy/ivyUtil.c ./depends.sh gcc `dirname src/aig/ivy/ivyUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyUtil.c > src/aig/ivy/ivyUtil.d ABC: `` Generating dependency: /src/aig/ivy/ivyTable.c ./depends.sh gcc `dirname src/aig/ivy/ivyTable.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyTable.c > src/aig/ivy/ivyTable.d ABC: `` Generating dependency: /src/aig/ivy/ivyShow.c ./depends.sh gcc `dirname src/aig/ivy/ivyShow.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyShow.c > src/aig/ivy/ivyShow.d ABC: `` Generating dependency: /src/aig/ivy/ivySeq.c ./depends.sh gcc `dirname src/aig/ivy/ivySeq.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivySeq.c > src/aig/ivy/ivySeq.d ABC: `` Generating dependency: /src/aig/ivy/ivyRwr.c ./depends.sh gcc `dirname src/aig/ivy/ivyRwr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyRwr.c > src/aig/ivy/ivyRwr.d ABC: `` Generating dependency: /src/aig/ivy/ivyResyn.c ABC: `` Generating dependency: /src/aig/ivy/ivyOper.c ./depends.sh gcc `dirname src/aig/ivy/ivyResyn.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyResyn.c > src/aig/ivy/ivyResyn.d ./depends.sh gcc `dirname src/aig/ivy/ivyOper.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyOper.c > src/aig/ivy/ivyOper.d ABC: `` Generating dependency: /src/aig/ivy/ivyObj.c ./depends.sh gcc `dirname src/aig/ivy/ivyObj.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyObj.c > src/aig/ivy/ivyObj.d ABC: `` Generating dependency: /src/aig/ivy/ivyMulti.c ./depends.sh gcc `dirname src/aig/ivy/ivyMulti.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMulti.c > src/aig/ivy/ivyMulti.d ABC: `` Generating dependency: /src/aig/ivy/ivyMem.c ABC: `` Generating dependency: /src/aig/ivy/ivyMan.c ./depends.sh gcc `dirname src/aig/ivy/ivyMem.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMem.c > src/aig/ivy/ivyMem.d ./depends.sh gcc `dirname src/aig/ivy/ivyMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMan.c > src/aig/ivy/ivyMan.d ABC: `` Generating dependency: /src/aig/ivy/ivyHaig.c ./depends.sh gcc `dirname src/aig/ivy/ivyHaig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyHaig.c > src/aig/ivy/ivyHaig.d ABC: `` Generating dependency: /src/aig/ivy/ivyFraig.c ./depends.sh gcc `dirname src/aig/ivy/ivyFraig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyFraig.c > src/aig/ivy/ivyFraig.d ABC: `` Generating dependency: /src/aig/ivy/ivyFastMap.c ./depends.sh gcc `dirname src/aig/ivy/ivyFastMap.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyFastMap.c > src/aig/ivy/ivyFastMap.d ABC: `` Generating dependency: /src/aig/ivy/ivyFanout.c ./depends.sh gcc `dirname src/aig/ivy/ivyFanout.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyFanout.c > src/aig/ivy/ivyFanout.d ABC: `` Generating dependency: /src/aig/ivy/ivyDsd.c ./depends.sh gcc `dirname src/aig/ivy/ivyDsd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyDsd.c > src/aig/ivy/ivyDsd.d ABC: `` Generating dependency: /src/aig/ivy/ivyDfs.c ./depends.sh gcc `dirname src/aig/ivy/ivyDfs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyDfs.c > src/aig/ivy/ivyDfs.d ABC: `` Generating dependency: /src/aig/ivy/ivyCutTrav.c ./depends.sh gcc `dirname src/aig/ivy/ivyCutTrav.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCutTrav.c > src/aig/ivy/ivyCutTrav.d ABC: `` Generating dependency: /src/aig/ivy/ivyCut.c ./depends.sh gcc `dirname src/aig/ivy/ivyCut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCut.c > src/aig/ivy/ivyCut.d ABC: `` Generating dependency: /src/aig/ivy/ivyCheck.c ./depends.sh gcc `dirname src/aig/ivy/ivyCheck.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCheck.c > src/aig/ivy/ivyCheck.d ABC: `` Generating dependency: /src/aig/ivy/ivyCanon.c ABC: `` Generating dependency: /src/aig/ivy/ivyBalance.c ./depends.sh gcc `dirname src/aig/ivy/ivyCanon.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCanon.c > src/aig/ivy/ivyCanon.d ./depends.sh gcc `dirname src/aig/ivy/ivyBalance.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyBalance.c > src/aig/ivy/ivyBalance.d ABC: `` Generating dependency: /src/aig/ioa/ioaUtil.c ./depends.sh gcc `dirname src/aig/ioa/ioaUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaUtil.c > src/aig/ioa/ioaUtil.d ABC: `` Generating dependency: /src/aig/ioa/ioaWriteAig.c ./depends.sh gcc `dirname src/aig/ioa/ioaWriteAig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaWriteAig.c > src/aig/ioa/ioaWriteAig.d ABC: `` Generating dependency: /src/aig/ioa/ioaReadAig.c ./depends.sh gcc `dirname src/aig/ioa/ioaReadAig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaReadAig.c > src/aig/ioa/ioaReadAig.d ABC: `` Generating dependency: /src/aig/gia/giaUtil.c ./depends.sh gcc `dirname src/aig/gia/giaUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaUtil.c > src/aig/gia/giaUtil.d ABC: `` Generating dependency: /src/aig/gia/giaUnate.c ./depends.sh gcc `dirname src/aig/gia/giaUnate.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaUnate.c > src/aig/gia/giaUnate.d ABC: `` Generating dependency: /src/aig/gia/giaTsim.c ./depends.sh gcc `dirname src/aig/gia/giaTsim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTsim.c > src/aig/gia/giaTsim.d ABC: `` Generating dependency: /src/aig/gia/giaTruth.c ./depends.sh gcc `dirname src/aig/gia/giaTruth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTruth.c > src/aig/gia/giaTruth.d ABC: `` Generating dependency: /src/aig/gia/giaTis.c ./depends.sh gcc `dirname src/aig/gia/giaTis.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTis.c > src/aig/gia/giaTis.d ABC: `` Generating dependency: /src/aig/gia/giaTim.c ./depends.sh gcc `dirname src/aig/gia/giaTim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTim.c > src/aig/gia/giaTim.d ABC: `` Generating dependency: /src/aig/gia/giaSwitch.c ./depends.sh gcc `dirname src/aig/gia/giaSwitch.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSwitch.c > src/aig/gia/giaSwitch.d ABC: `` Generating dependency: /src/aig/gia/giaSweeper.c ./depends.sh gcc `dirname src/aig/gia/giaSweeper.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSweeper.c > src/aig/gia/giaSweeper.d ABC: `` Generating dependency: /src/aig/gia/giaSweep.c ./depends.sh gcc `dirname src/aig/gia/giaSweep.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSweep.c > src/aig/gia/giaSweep.d ABC: `` Generating dependency: /src/aig/gia/giaSupp.c ./depends.sh gcc `dirname src/aig/gia/giaSupp.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupp.c > src/aig/gia/giaSupp.d ABC: `` Generating dependency: /src/aig/gia/giaSupMin.c ./depends.sh gcc `dirname src/aig/gia/giaSupMin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupMin.c > src/aig/gia/giaSupMin.d ABC: `` Generating dependency: /src/aig/gia/giaStr.c ./depends.sh gcc `dirname src/aig/gia/giaStr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStr.c > src/aig/gia/giaStr.d ABC: `` Generating dependency: /src/aig/gia/giaStg.c ./depends.sh gcc `dirname src/aig/gia/giaStg.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStg.c > src/aig/gia/giaStg.d ABC: `` Generating dependency: /src/aig/gia/giaSplit.c ./depends.sh gcc `dirname src/aig/gia/giaSplit.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSplit.c > src/aig/gia/giaSplit.d ABC: `` Generating dependency: /src/aig/gia/giaSpeedup.c ./depends.sh gcc `dirname src/aig/gia/giaSpeedup.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSpeedup.c > src/aig/gia/giaSpeedup.d ABC: `` Generating dependency: /src/aig/gia/giaSort.c ./depends.sh gcc `dirname src/aig/gia/giaSort.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSort.c > src/aig/gia/giaSort.d ABC: `` Generating dependency: /src/aig/gia/giaSim2.c ./depends.sh gcc `dirname src/aig/gia/giaSim2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSim2.c > src/aig/gia/giaSim2.d ABC: `` Generating dependency: /src/aig/gia/giaSim.c ./depends.sh gcc `dirname src/aig/gia/giaSim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSim.c > src/aig/gia/giaSim.d ABC: `` Generating dependency: /src/aig/gia/giaShrink7.c ./depends.sh gcc `dirname src/aig/gia/giaShrink7.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink7.c > src/aig/gia/giaShrink7.d ABC: `` Generating dependency: /src/aig/gia/giaShrink6.c ./depends.sh gcc `dirname src/aig/gia/giaShrink6.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink6.c > src/aig/gia/giaShrink6.d ABC: `` Generating dependency: /src/aig/gia/giaShrink.c ./depends.sh gcc `dirname src/aig/gia/giaShrink.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink.c > src/aig/gia/giaShrink.d ABC: `` Generating dependency: /src/aig/gia/giaShow.c ./depends.sh gcc `dirname src/aig/gia/giaShow.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShow.c > src/aig/gia/giaShow.d ABC: `` Generating dependency: /src/aig/gia/giaScript.c ./depends.sh gcc `dirname src/aig/gia/giaScript.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaScript.c > src/aig/gia/giaScript.d ABC: `` Generating dependency: /src/aig/gia/giaScl.c ./depends.sh gcc `dirname src/aig/gia/giaScl.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaScl.c > src/aig/gia/giaScl.d ABC: `` Generating dependency: /src/aig/gia/giaSat3.c ./depends.sh gcc `dirname src/aig/gia/giaSat3.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSat3.c > src/aig/gia/giaSat3.d ABC: `` Generating dependency: /src/aig/gia/giaSatoko.c ./depends.sh gcc `dirname src/aig/gia/giaSatoko.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatoko.c > src/aig/gia/giaSatoko.d ABC: `` Generating dependency: /src/aig/gia/giaSatMap.c ./depends.sh gcc `dirname src/aig/gia/giaSatMap.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatMap.c > src/aig/gia/giaSatMap.d ABC: `` Generating dependency: /src/aig/gia/giaSatLut.c ./depends.sh gcc `dirname src/aig/gia/giaSatLut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatLut.c > src/aig/gia/giaSatLut.d ABC: `` Generating dependency: /src/aig/gia/giaSatLE.c ./depends.sh gcc `dirname src/aig/gia/giaSatLE.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatLE.c > src/aig/gia/giaSatLE.d ABC: `` Generating dependency: /src/aig/gia/giaSatEdge.c ./depends.sh gcc `dirname src/aig/gia/giaSatEdge.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatEdge.c > src/aig/gia/giaSatEdge.d ABC: `` Generating dependency: /src/aig/gia/giaRex.c ./depends.sh gcc `dirname src/aig/gia/giaRex.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaRex.c > src/aig/gia/giaRex.d ABC: `` Generating dependency: /src/aig/gia/giaRetime.c ./depends.sh gcc `dirname src/aig/gia/giaRetime.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaRetime.c > src/aig/gia/giaRetime.d ABC: `` Generating dependency: /src/aig/gia/giaResub.c ./depends.sh gcc `dirname src/aig/gia/giaResub.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub.c > src/aig/gia/giaResub.d ABC: `` Generating dependency: /src/aig/gia/giaQbf.c ./depends.sh gcc `dirname src/aig/gia/giaQbf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaQbf.c > src/aig/gia/giaQbf.d ABC: `` Generating dependency: /src/aig/gia/giaPf.c ./depends.sh gcc `dirname src/aig/gia/giaPf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPf.c > src/aig/gia/giaPf.d ABC: `` Generating dependency: /src/aig/gia/giaPat.c ./depends.sh gcc `dirname src/aig/gia/giaPat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPat.c > src/aig/gia/giaPat.d ABC: `` Generating dependency: /src/aig/gia/giaPack.c ./depends.sh gcc `dirname src/aig/gia/giaPack.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPack.c > src/aig/gia/giaPack.d ABC: `` Generating dependency: /src/aig/gia/giaOf.c ./depends.sh gcc `dirname src/aig/gia/giaOf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaOf.c > src/aig/gia/giaOf.d ABC: `` Generating dependency: /src/aig/gia/giaNf.c ./depends.sh gcc `dirname src/aig/gia/giaNf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaNf.c > src/aig/gia/giaNf.d ABC: `` Generating dependency: /src/aig/gia/giaMuxes.c ./depends.sh gcc `dirname src/aig/gia/giaMuxes.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMuxes.c > src/aig/gia/giaMuxes.d ABC: `` Generating dependency: /src/aig/gia/giaMini.c ./depends.sh gcc `dirname src/aig/gia/giaMini.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMini.c > src/aig/gia/giaMini.d ABC: `` Generating dependency: /src/aig/gia/giaMfs.c ./depends.sh gcc `dirname src/aig/gia/giaMfs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMfs.c > src/aig/gia/giaMfs.d ABC: `` Generating dependency: /src/aig/gia/giaMem.c ./depends.sh gcc `dirname src/aig/gia/giaMem.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMem.c > src/aig/gia/giaMem.d ABC: `` Generating dependency: /src/aig/gia/giaMan.c ./depends.sh gcc `dirname src/aig/gia/giaMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMan.c > src/aig/gia/giaMan.d ABC: `` Generating dependency: /src/aig/gia/giaMf.c ./depends.sh gcc `dirname src/aig/gia/giaMf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMf.c > src/aig/gia/giaMf.d ABC: `` Generating dependency: /src/aig/gia/giaLf.c ./depends.sh gcc `dirname src/aig/gia/giaLf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaLf.c > src/aig/gia/giaLf.d ABC: `` Generating dependency: /src/aig/gia/giaKf.c ./depends.sh gcc `dirname src/aig/gia/giaKf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaKf.c > src/aig/gia/giaKf.d ABC: `` Generating dependency: /src/aig/gia/giaJf.c ./depends.sh gcc `dirname src/aig/gia/giaJf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaJf.c > src/aig/gia/giaJf.d ABC: `` Generating dependency: /src/aig/gia/giaIso3.c ./depends.sh gcc `dirname src/aig/gia/giaIso3.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIso3.c > src/aig/gia/giaIso3.d ABC: `` Generating dependency: /src/aig/gia/giaIso2.c ./depends.sh gcc `dirname src/aig/gia/giaIso2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIso2.c > src/aig/gia/giaIso2.d ABC: `` Generating dependency: /src/aig/gia/giaIso.c ./depends.sh gcc `dirname src/aig/gia/giaIso.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIso.c > src/aig/gia/giaIso.d ABC: `` Generating dependency: /src/aig/gia/giaIiff.c ./depends.sh gcc `dirname src/aig/gia/giaIiff.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIiff.c > src/aig/gia/giaIiff.d ABC: `` Generating dependency: /src/aig/gia/giaIff.c ./depends.sh gcc `dirname src/aig/gia/giaIff.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIff.c > src/aig/gia/giaIff.d ABC: `` Generating dependency: /src/aig/gia/giaIf.c ./depends.sh gcc `dirname src/aig/gia/giaIf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIf.c > src/aig/gia/giaIf.d ABC: `` Generating dependency: /src/aig/gia/giaHash.c ./depends.sh gcc `dirname src/aig/gia/giaHash.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaHash.c > src/aig/gia/giaHash.d ABC: `` Generating dependency: /src/aig/gia/giaGlitch.c ./depends.sh gcc `dirname src/aig/gia/giaGlitch.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaGlitch.c > src/aig/gia/giaGlitch.d ABC: `` Generating dependency: /src/aig/gia/giaGig.c ./depends.sh gcc `dirname src/aig/gia/giaGig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaGig.c > src/aig/gia/giaGig.d ABC: `` Generating dependency: /src/aig/gia/giaFx.c ./depends.sh gcc `dirname src/aig/gia/giaFx.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFx.c > src/aig/gia/giaFx.d ABC: `` Generating dependency: /src/aig/gia/giaFront.c ./depends.sh gcc `dirname src/aig/gia/giaFront.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFront.c > src/aig/gia/giaFront.d ABC: `` Generating dependency: /src/aig/gia/giaFrames.c ./depends.sh gcc `dirname src/aig/gia/giaFrames.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFrames.c > src/aig/gia/giaFrames.d ABC: `` Generating dependency: /src/aig/gia/giaForce.c ABC: `` Generating dependency: /src/aig/gia/giaFanout.c ./depends.sh gcc `dirname src/aig/gia/giaForce.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaForce.c > src/aig/gia/giaForce.d ./depends.sh gcc `dirname src/aig/gia/giaFanout.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFanout.c > src/aig/gia/giaFanout.d ABC: `` Generating dependency: /src/aig/gia/giaFalse.c ./depends.sh gcc `dirname src/aig/gia/giaFalse.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFalse.c > src/aig/gia/giaFalse.d ABC: `` Generating dependency: /src/aig/gia/giaExist.c ./depends.sh gcc `dirname src/aig/gia/giaExist.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaExist.c > src/aig/gia/giaExist.d ABC: `` Generating dependency: /src/aig/gia/giaEsop.c ./depends.sh gcc `dirname src/aig/gia/giaEsop.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEsop.c > src/aig/gia/giaEsop.d ABC: `` Generating dependency: /src/aig/gia/giaEra2.c ./depends.sh gcc `dirname src/aig/gia/giaEra2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEra2.c > src/aig/gia/giaEra2.d ABC: `` Generating dependency: /src/aig/gia/giaEra.c ./depends.sh gcc `dirname src/aig/gia/giaEra.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEra.c > src/aig/gia/giaEra.d ABC: `` Generating dependency: /src/aig/gia/giaEquiv.c ./depends.sh gcc `dirname src/aig/gia/giaEquiv.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEquiv.c > src/aig/gia/giaEquiv.d ABC: `` Generating dependency: /src/aig/gia/giaEnable.c ABC: `` Generating dependency: /src/aig/gia/giaEmbed.c ./depends.sh gcc `dirname src/aig/gia/giaEnable.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEnable.c > src/aig/gia/giaEnable.d ./depends.sh gcc `dirname src/aig/gia/giaEmbed.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEmbed.c > src/aig/gia/giaEmbed.d ABC: `` Generating dependency: /src/aig/gia/giaEdge.c ./depends.sh gcc `dirname src/aig/gia/giaEdge.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEdge.c > src/aig/gia/giaEdge.d ABC: `` Generating dependency: /src/aig/gia/giaDup.c ./depends.sh gcc `dirname src/aig/gia/giaDup.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDup.c > src/aig/gia/giaDup.d ABC: `` Generating dependency: /src/aig/gia/giaDfs.c ./depends.sh gcc `dirname src/aig/gia/giaDfs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDfs.c > src/aig/gia/giaDfs.d ABC: `` Generating dependency: /src/aig/gia/giaCut.c ./depends.sh gcc `dirname src/aig/gia/giaCut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCut.c > src/aig/gia/giaCut.d ABC: `` Generating dependency: /src/aig/gia/giaCTas.c ./depends.sh gcc `dirname src/aig/gia/giaCTas.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCTas.c > src/aig/gia/giaCTas.d ABC: `` Generating dependency: /src/aig/gia/giaCSat2.c ./depends.sh gcc `dirname src/aig/gia/giaCSat2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSat2.c > src/aig/gia/giaCSat2.d ABC: `` Generating dependency: /src/aig/gia/giaCSat.c ./depends.sh gcc `dirname src/aig/gia/giaCSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSat.c > src/aig/gia/giaCSat.d ABC: `` Generating dependency: /src/aig/gia/giaCSatOld.c ./depends.sh gcc `dirname src/aig/gia/giaCSatOld.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSatOld.c > src/aig/gia/giaCSatOld.d ABC: `` Generating dependency: /src/aig/gia/giaCone.c ./depends.sh gcc `dirname src/aig/gia/giaCone.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCone.c > src/aig/gia/giaCone.d ABC: `` Generating dependency: /src/aig/gia/giaCof.c ./depends.sh gcc `dirname src/aig/gia/giaCof.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCof.c > src/aig/gia/giaCof.d ABC: `` Generating dependency: /src/aig/gia/giaClp.c ./depends.sh gcc `dirname src/aig/gia/giaClp.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaClp.c > src/aig/gia/giaClp.d ABC: `` Generating dependency: /src/aig/gia/giaCex.c ./depends.sh gcc `dirname src/aig/gia/giaCex.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCex.c > src/aig/gia/giaCex.d ABC: `` Generating dependency: /src/aig/gia/giaCCof.c ./depends.sh gcc `dirname src/aig/gia/giaCCof.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCCof.c > src/aig/gia/giaCCof.d ABC: `` Generating dependency: /src/aig/gia/giaBidec.c ABC: `` Generating dependency: /src/aig/gia/giaBalMap.c ./depends.sh gcc `dirname src/aig/gia/giaBidec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBidec.c > src/aig/gia/giaBidec.d ./depends.sh gcc `dirname src/aig/gia/giaBalMap.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBalMap.c > src/aig/gia/giaBalMap.d ABC: `` Generating dependency: /src/aig/gia/giaBalLut.c ./depends.sh gcc `dirname src/aig/gia/giaBalLut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBalLut.c > src/aig/gia/giaBalLut.d ABC: `` Generating dependency: /src/aig/gia/giaBalAig.c ./depends.sh gcc `dirname src/aig/gia/giaBalAig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBalAig.c > src/aig/gia/giaBalAig.d ABC: `` Generating dependency: /src/aig/gia/giaAigerExt.c ./depends.sh gcc `dirname src/aig/gia/giaAigerExt.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAigerExt.c > src/aig/gia/giaAigerExt.d ABC: `` Generating dependency: /src/aig/gia/giaAiger.c ./depends.sh gcc `dirname src/aig/gia/giaAiger.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAiger.c > src/aig/gia/giaAiger.d ABC: `` Generating dependency: /src/aig/gia/giaAgi.c ./depends.sh gcc `dirname src/aig/gia/giaAgi.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAgi.c > src/aig/gia/giaAgi.d ABC: `` Generating dependency: /src/aig/gia/giaAig.c ./depends.sh gcc `dirname src/aig/gia/giaAig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAig.c > src/aig/gia/giaAig.d ABC: `` Generating dependency: /src/aig/saig/saigWnd.c ./depends.sh gcc `dirname src/aig/saig/saigWnd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigWnd.c > src/aig/saig/saigWnd.d ABC: `` Generating dependency: /src/aig/saig/saigTrans.c ./depends.sh gcc `dirname src/aig/saig/saigTrans.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigTrans.c > src/aig/saig/saigTrans.d ABC: `` Generating dependency: /src/aig/saig/saigTempor.c ./depends.sh gcc `dirname src/aig/saig/saigTempor.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigTempor.c > src/aig/saig/saigTempor.d ABC: `` Generating dependency: /src/aig/saig/saigSynch.c ./depends.sh gcc `dirname src/aig/saig/saigSynch.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSynch.c > src/aig/saig/saigSynch.d ABC: `` Generating dependency: /src/aig/saig/saigSwitch.c ./depends.sh gcc `dirname src/aig/saig/saigSwitch.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSwitch.c > src/aig/saig/saigSwitch.d ABC: `` Generating dependency: /src/aig/saig/saigStrSim.c ./depends.sh gcc `dirname src/aig/saig/saigStrSim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigStrSim.c > src/aig/saig/saigStrSim.d ABC: `` Generating dependency: /src/aig/saig/saigSimSeq.c ./depends.sh gcc `dirname src/aig/saig/saigSimSeq.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSimSeq.c > src/aig/saig/saigSimSeq.d ABC: `` Generating dependency: /src/aig/saig/saigSimMv.c ./depends.sh gcc `dirname src/aig/saig/saigSimMv.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSimMv.c > src/aig/saig/saigSimMv.d ABC: `` Generating dependency: /src/aig/saig/saigSimFast.c ./depends.sh gcc `dirname src/aig/saig/saigSimFast.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSimFast.c > src/aig/saig/saigSimFast.d ABC: `` Generating dependency: /src/aig/saig/saigScl.c ./depends.sh gcc `dirname src/aig/saig/saigScl.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigScl.c > src/aig/saig/saigScl.d ABC: `` Generating dependency: /src/aig/saig/saigRetStep.c ./depends.sh gcc `dirname src/aig/saig/saigRetStep.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetStep.c > src/aig/saig/saigRetStep.d ABC: `` Generating dependency: /src/aig/saig/saigRetMin.c ./depends.sh gcc `dirname src/aig/saig/saigRetMin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetMin.c > src/aig/saig/saigRetMin.d ABC: `` Generating dependency: /src/aig/saig/saigRetFwd.c ./depends.sh gcc `dirname src/aig/saig/saigRetFwd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetFwd.c > src/aig/saig/saigRetFwd.d ABC: `` Generating dependency: /src/aig/saig/saigPhase.c ./depends.sh gcc `dirname src/aig/saig/saigPhase.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigPhase.c > src/aig/saig/saigPhase.d ABC: `` Generating dependency: /src/aig/saig/saigOutDec.c ./depends.sh gcc `dirname src/aig/saig/saigOutDec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigOutDec.c > src/aig/saig/saigOutDec.d ABC: `` Generating dependency: /src/aig/saig/saigMiter.c ./depends.sh gcc `dirname src/aig/saig/saigMiter.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigMiter.c > src/aig/saig/saigMiter.d ABC: `` Generating dependency: /src/aig/saig/saigIsoSlow.c ./depends.sh gcc `dirname src/aig/saig/saigIsoSlow.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIsoSlow.c > src/aig/saig/saigIsoSlow.d ABC: `` Generating dependency: /src/aig/saig/saigIsoFast.c ./depends.sh gcc `dirname src/aig/saig/saigIsoFast.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIsoFast.c > src/aig/saig/saigIsoFast.d ABC: `` Generating dependency: /src/aig/saig/saigIso.c ./depends.sh gcc `dirname src/aig/saig/saigIso.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIso.c > src/aig/saig/saigIso.d ABC: `` Generating dependency: /src/aig/saig/saigIoa.c ./depends.sh gcc `dirname src/aig/saig/saigIoa.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIoa.c > src/aig/saig/saigIoa.d ABC: `` Generating dependency: /src/aig/saig/saigInd.c ./depends.sh gcc `dirname src/aig/saig/saigInd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigInd.c > src/aig/saig/saigInd.d ABC: `` Generating dependency: /src/aig/saig/saigDup.c ./depends.sh gcc `dirname src/aig/saig/saigDup.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigDup.c > src/aig/saig/saigDup.d ABC: `` Generating dependency: /src/aig/saig/saigDual.c ./depends.sh gcc `dirname src/aig/saig/saigDual.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigDual.c > src/aig/saig/saigDual.d ABC: `` Generating dependency: /src/aig/saig/saigConstr2.c ./depends.sh gcc `dirname src/aig/saig/saigConstr2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigConstr2.c > src/aig/saig/saigConstr2.d ABC: `` Generating dependency: /src/aig/saig/saigConstr.c ./depends.sh gcc `dirname src/aig/saig/saigConstr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigConstr.c > src/aig/saig/saigConstr.d ABC: `` Generating dependency: /src/aig/saig/saigCone.c ./depends.sh gcc `dirname src/aig/saig/saigCone.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigCone.c > src/aig/saig/saigCone.d ABC: `` Generating dependency: /src/aig/aig/aigWin.c ./depends.sh gcc `dirname src/aig/aig/aigWin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigWin.c > src/aig/aig/aigWin.d ABC: `` Generating dependency: /src/aig/aig/aigUtil.c ABC: `` Generating dependency: /src/aig/aig/aigTsim.c ./depends.sh gcc `dirname src/aig/aig/aigUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigUtil.c > src/aig/aig/aigUtil.d ./depends.sh gcc `dirname src/aig/aig/aigTsim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTsim.c > src/aig/aig/aigTsim.d ABC: `` Generating dependency: /src/aig/aig/aigTruth.c ./depends.sh gcc `dirname src/aig/aig/aigTruth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTruth.c > src/aig/aig/aigTruth.d ABC: `` Generating dependency: /src/aig/aig/aigTiming.c ./depends.sh gcc `dirname src/aig/aig/aigTiming.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTiming.c > src/aig/aig/aigTiming.d ABC: `` Generating dependency: /src/aig/aig/aigTable.c ./depends.sh gcc `dirname src/aig/aig/aigTable.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTable.c > src/aig/aig/aigTable.d ABC: `` Generating dependency: /src/aig/aig/aigSplit.c ./depends.sh gcc `dirname src/aig/aig/aigSplit.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigSplit.c > src/aig/aig/aigSplit.d ABC: `` Generating dependency: /src/aig/aig/aigShow.c ./depends.sh gcc `dirname src/aig/aig/aigShow.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigShow.c > src/aig/aig/aigShow.d ABC: `` Generating dependency: /src/aig/aig/aigScl.c ./depends.sh gcc `dirname src/aig/aig/aigScl.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigScl.c > src/aig/aig/aigScl.d ABC: `` Generating dependency: /src/aig/aig/aigRetF.c ./depends.sh gcc `dirname src/aig/aig/aigRetF.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRetF.c > src/aig/aig/aigRetF.d ABC: `` Generating dependency: /src/aig/aig/aigRet.c ./depends.sh gcc `dirname src/aig/aig/aigRet.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRet.c > src/aig/aig/aigRet.d ABC: `` Generating dependency: /src/aig/aig/aigRepr.c ./depends.sh gcc `dirname src/aig/aig/aigRepr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRepr.c > src/aig/aig/aigRepr.d ABC: `` Generating dependency: /src/aig/aig/aigPartSat.c ./depends.sh gcc `dirname src/aig/aig/aigPartSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPartSat.c > src/aig/aig/aigPartSat.d ABC: `` Generating dependency: /src/aig/aig/aigPartReg.c ./depends.sh gcc `dirname src/aig/aig/aigPartReg.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPartReg.c > src/aig/aig/aigPartReg.d ABC: `` Generating dependency: /src/aig/aig/aigPart.c ./depends.sh gcc `dirname src/aig/aig/aigPart.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPart.c > src/aig/aig/aigPart.d ABC: `` Generating dependency: /src/aig/aig/aigPack.c ./depends.sh gcc `dirname src/aig/aig/aigPack.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPack.c > src/aig/aig/aigPack.d ABC: `` Generating dependency: /src/aig/aig/aigOrder.c ./depends.sh gcc `dirname src/aig/aig/aigOrder.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigOrder.c > src/aig/aig/aigOrder.d ABC: `` Generating dependency: /src/aig/aig/aigOper.c ./depends.sh gcc `dirname src/aig/aig/aigOper.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigOper.c > src/aig/aig/aigOper.d ABC: `` Generating dependency: /src/aig/aig/aigObj.c ./depends.sh gcc `dirname src/aig/aig/aigObj.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigObj.c > src/aig/aig/aigObj.d ABC: `` Generating dependency: /src/aig/aig/aigMffc.c ./depends.sh gcc `dirname src/aig/aig/aigMffc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMffc.c > src/aig/aig/aigMffc.d ABC: `` Generating dependency: /src/aig/aig/aigMem.c ./depends.sh gcc `dirname src/aig/aig/aigMem.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMem.c > src/aig/aig/aigMem.d ABC: `` Generating dependency: /src/aig/aig/aigMan.c ./depends.sh gcc `dirname src/aig/aig/aigMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMan.c > src/aig/aig/aigMan.d ABC: `` Generating dependency: /src/aig/aig/aigJust.c ./depends.sh gcc `dirname src/aig/aig/aigJust.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigJust.c > src/aig/aig/aigJust.d ABC: `` Generating dependency: /src/aig/aig/aigInter.c ./depends.sh gcc `dirname src/aig/aig/aigInter.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigInter.c > src/aig/aig/aigInter.d ABC: `` Generating dependency: /src/aig/aig/aigFrames.c ./depends.sh gcc `dirname src/aig/aig/aigFrames.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigFrames.c > src/aig/aig/aigFrames.d ABC: `` Generating dependency: /src/aig/aig/aigFanout.c ./depends.sh gcc `dirname src/aig/aig/aigFanout.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigFanout.c > src/aig/aig/aigFanout.d ABC: `` Generating dependency: /src/aig/aig/aigDup.c ./depends.sh gcc `dirname src/aig/aig/aigDup.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigDup.c > src/aig/aig/aigDup.d ABC: `` Generating dependency: /src/aig/aig/aigDfs.c ./depends.sh gcc `dirname src/aig/aig/aigDfs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigDfs.c > src/aig/aig/aigDfs.d ABC: `` Generating dependency: /src/aig/aig/aigCuts.c ./depends.sh gcc `dirname src/aig/aig/aigCuts.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCuts.c > src/aig/aig/aigCuts.d ABC: `` Generating dependency: /src/aig/aig/aigCanon.c ./depends.sh gcc `dirname src/aig/aig/aigCanon.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCanon.c > src/aig/aig/aigCanon.d ABC: `` Generating dependency: /src/aig/aig/aigCheck.c ./depends.sh gcc `dirname src/aig/aig/aigCheck.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCheck.c > src/aig/aig/aigCheck.d ABC: `` Generating dependency: /src/proof/ssw/sswUnique.c ./depends.sh gcc `dirname src/proof/ssw/sswUnique.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswUnique.c > src/proof/ssw/sswUnique.d ABC: `` Generating dependency: /src/proof/ssw/sswSweep.c ./depends.sh gcc `dirname src/proof/ssw/sswSweep.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSweep.c > src/proof/ssw/sswSweep.d ABC: `` Generating dependency: /src/proof/ssw/sswSimSat.c ./depends.sh gcc `dirname src/proof/ssw/sswSimSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSimSat.c > src/proof/ssw/sswSimSat.d ABC: `` Generating dependency: /src/proof/ssw/sswSim.c ./depends.sh gcc `dirname src/proof/ssw/sswSim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSim.c > src/proof/ssw/sswSim.d ABC: `` Generating dependency: /src/proof/ssw/sswSemi.c ./depends.sh gcc `dirname src/proof/ssw/sswSemi.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSemi.c > src/proof/ssw/sswSemi.d ABC: `` Generating dependency: /src/proof/ssw/sswSat.c ./depends.sh gcc `dirname src/proof/ssw/sswSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSat.c > src/proof/ssw/sswSat.d ABC: `` Generating dependency: /src/proof/ssw/sswRarity.c ./depends.sh gcc `dirname src/proof/ssw/sswRarity.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswRarity.c > src/proof/ssw/sswRarity.d ABC: `` Generating dependency: /src/proof/ssw/sswPairs.c ./depends.sh gcc `dirname src/proof/ssw/sswPairs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswPairs.c > src/proof/ssw/sswPairs.d ABC: `` Generating dependency: /src/proof/ssw/sswPart.c ./depends.sh gcc `dirname src/proof/ssw/sswPart.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswPart.c > src/proof/ssw/sswPart.d ABC: `` Generating dependency: /src/proof/ssw/sswMan.c ./depends.sh gcc `dirname src/proof/ssw/sswMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswMan.c > src/proof/ssw/sswMan.d ABC: `` Generating dependency: /src/proof/ssw/sswLcorr.c ./depends.sh gcc `dirname src/proof/ssw/sswLcorr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswLcorr.c > src/proof/ssw/sswLcorr.d ABC: `` Generating dependency: /src/proof/ssw/sswIslands.c ./depends.sh gcc `dirname src/proof/ssw/sswIslands.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswIslands.c > src/proof/ssw/sswIslands.d ABC: `` Generating dependency: /src/proof/ssw/sswFilter.c ./depends.sh gcc `dirname src/proof/ssw/sswFilter.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswFilter.c > src/proof/ssw/sswFilter.d ABC: `` Generating dependency: /src/proof/ssw/sswDyn.c ./depends.sh gcc `dirname src/proof/ssw/sswDyn.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswDyn.c > src/proof/ssw/sswDyn.d ABC: `` Generating dependency: /src/proof/ssw/sswCore.c ./depends.sh gcc `dirname src/proof/ssw/sswCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswCore.c > src/proof/ssw/sswCore.d ABC: `` Generating dependency: /src/proof/ssw/sswConstr.c ./depends.sh gcc `dirname src/proof/ssw/sswConstr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswConstr.c > src/proof/ssw/sswConstr.d ABC: `` Generating dependency: /src/proof/ssw/sswCnf.c ./depends.sh gcc `dirname src/proof/ssw/sswCnf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswCnf.c > src/proof/ssw/sswCnf.d ABC: `` Generating dependency: /src/proof/ssw/sswClass.c ABC: `` Generating dependency: /src/proof/ssw/sswBmc.c ./depends.sh gcc `dirname src/proof/ssw/sswClass.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswClass.c > src/proof/ssw/sswClass.d ./depends.sh gcc `dirname src/proof/ssw/sswBmc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswBmc.c > src/proof/ssw/sswBmc.d ABC: `` Generating dependency: /src/proof/ssw/sswAig.c ./depends.sh gcc `dirname src/proof/ssw/sswAig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswAig.c > src/proof/ssw/sswAig.d ABC: `` Generating dependency: /src/proof/fra/fraSim.c ./depends.sh gcc `dirname src/proof/fra/fraSim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSim.c > src/proof/fra/fraSim.d ABC: `` Generating dependency: /src/proof/fra/fraSec.c ./depends.sh gcc `dirname src/proof/fra/fraSec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSec.c > src/proof/fra/fraSec.d ABC: `` Generating dependency: /src/proof/fra/fraSat.c ./depends.sh gcc `dirname src/proof/fra/fraSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSat.c > src/proof/fra/fraSat.d ABC: `` Generating dependency: /src/proof/fra/fraPart.c ./depends.sh gcc `dirname src/proof/fra/fraPart.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraPart.c > src/proof/fra/fraPart.d ABC: `` Generating dependency: /src/proof/fra/fraMan.c ./depends.sh gcc `dirname src/proof/fra/fraMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraMan.c > src/proof/fra/fraMan.d ABC: `` Generating dependency: /src/proof/fra/fraLcr.c ./depends.sh gcc `dirname src/proof/fra/fraLcr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraLcr.c > src/proof/fra/fraLcr.d ABC: `` Generating dependency: /src/proof/fra/fraIndVer.c ./depends.sh gcc `dirname src/proof/fra/fraIndVer.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraIndVer.c > src/proof/fra/fraIndVer.d ABC: `` Generating dependency: /src/proof/fra/fraInd.c ./depends.sh gcc `dirname src/proof/fra/fraInd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraInd.c > src/proof/fra/fraInd.d ABC: `` Generating dependency: /src/proof/fra/fraImp.c ./depends.sh gcc `dirname src/proof/fra/fraImp.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraImp.c > src/proof/fra/fraImp.d ABC: `` Generating dependency: /src/proof/fra/fraHot.c ./depends.sh gcc `dirname src/proof/fra/fraHot.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraHot.c > src/proof/fra/fraHot.d ABC: `` Generating dependency: /src/proof/fra/fraCore.c ./depends.sh gcc `dirname src/proof/fra/fraCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCore.c > src/proof/fra/fraCore.d ABC: `` Generating dependency: /src/proof/fra/fraCnf.c ./depends.sh gcc `dirname src/proof/fra/fraCnf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCnf.c > src/proof/fra/fraCnf.d ABC: `` Generating dependency: /src/proof/fra/fraClaus.c ABC: `` Generating dependency: /src/proof/fra/fraClau.c ./depends.sh gcc `dirname src/proof/fra/fraClau.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClau.c > src/proof/fra/fraClau.d ./depends.sh gcc `dirname src/proof/fra/fraClaus.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClaus.c > src/proof/fra/fraClaus.d ABC: `` Generating dependency: /src/proof/fra/fraClass.c ./depends.sh gcc `dirname src/proof/fra/fraClass.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClass.c > src/proof/fra/fraClass.d ABC: `` Generating dependency: /src/proof/fra/fraCec.c ./depends.sh gcc `dirname src/proof/fra/fraCec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCec.c > src/proof/fra/fraCec.d ABC: `` Generating dependency: /src/proof/fra/fraBmc.c ./depends.sh gcc `dirname src/proof/fra/fraBmc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraBmc.c > src/proof/fra/fraBmc.d ABC: `` Generating dependency: /src/proof/fraig/fraigVec.c ./depends.sh gcc `dirname src/proof/fraig/fraigVec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigVec.c > src/proof/fraig/fraigVec.d ABC: `` Generating dependency: /src/proof/fraig/fraigUtil.c ./depends.sh gcc `dirname src/proof/fraig/fraigUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigUtil.c > src/proof/fraig/fraigUtil.d ABC: `` Generating dependency: /src/proof/fraig/fraigTable.c ./depends.sh gcc `dirname src/proof/fraig/fraigTable.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigTable.c > src/proof/fraig/fraigTable.d ABC: `` Generating dependency: /src/proof/fraig/fraigSat.c ./depends.sh gcc `dirname src/proof/fraig/fraigSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigSat.c > src/proof/fraig/fraigSat.d ABC: `` Generating dependency: /src/proof/fraig/fraigPrime.c ./depends.sh gcc `dirname src/proof/fraig/fraigPrime.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigPrime.c > src/proof/fraig/fraigPrime.d ABC: `` Generating dependency: /src/proof/fraig/fraigNode.c ./depends.sh gcc `dirname src/proof/fraig/fraigNode.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigNode.c > src/proof/fraig/fraigNode.d ABC: `` Generating dependency: /src/proof/fraig/fraigMem.c ./depends.sh gcc `dirname src/proof/fraig/fraigMem.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigMem.c > src/proof/fraig/fraigMem.d ABC: `` Generating dependency: /src/proof/fraig/fraigMan.c ./depends.sh gcc `dirname src/proof/fraig/fraigMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigMan.c > src/proof/fraig/fraigMan.d ABC: `` Generating dependency: /src/proof/fraig/fraigFeed.c ./depends.sh gcc `dirname src/proof/fraig/fraigFeed.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigFeed.c > src/proof/fraig/fraigFeed.d ABC: `` Generating dependency: /src/proof/fraig/fraigFanout.c ./depends.sh gcc `dirname src/proof/fraig/fraigFanout.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigFanout.c > src/proof/fraig/fraigFanout.d ABC: `` Generating dependency: /src/proof/fraig/fraigCanon.c ./depends.sh gcc `dirname src/proof/fraig/fraigCanon.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigCanon.c > src/proof/fraig/fraigCanon.d ABC: `` Generating dependency: /src/proof/fraig/fraigApi.c ./depends.sh gcc `dirname src/proof/fraig/fraigApi.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigApi.c > src/proof/fraig/fraigApi.d ABC: `` Generating dependency: /src/proof/dch/dchSweep.c ./depends.sh gcc `dirname src/proof/dch/dchSweep.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSweep.c > src/proof/dch/dchSweep.d ABC: `` Generating dependency: /src/proof/dch/dchSimSat.c ./depends.sh gcc `dirname src/proof/dch/dchSimSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSimSat.c > src/proof/dch/dchSimSat.d ABC: `` Generating dependency: /src/proof/dch/dchSim.c ./depends.sh gcc `dirname src/proof/dch/dchSim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSim.c > src/proof/dch/dchSim.d ABC: `` Generating dependency: /src/proof/dch/dchSat.c ./depends.sh gcc `dirname src/proof/dch/dchSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSat.c > src/proof/dch/dchSat.d ABC: `` Generating dependency: /src/proof/dch/dchMan.c ./depends.sh gcc `dirname src/proof/dch/dchMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchMan.c > src/proof/dch/dchMan.d ABC: `` Generating dependency: /src/proof/dch/dchCore.c ./depends.sh gcc `dirname src/proof/dch/dchCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchCore.c > src/proof/dch/dchCore.d ABC: `` Generating dependency: /src/proof/dch/dchCnf.c ./depends.sh gcc `dirname src/proof/dch/dchCnf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchCnf.c > src/proof/dch/dchCnf.d ABC: `` Generating dependency: /src/proof/dch/dchClass.c ./depends.sh gcc `dirname src/proof/dch/dchClass.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchClass.c > src/proof/dch/dchClass.d ABC: `` Generating dependency: /src/proof/dch/dchChoice.c ./depends.sh gcc `dirname src/proof/dch/dchChoice.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchChoice.c > src/proof/dch/dchChoice.d ABC: `` Generating dependency: /src/proof/dch/dchAig.c ./depends.sh gcc `dirname src/proof/dch/dchAig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchAig.c > src/proof/dch/dchAig.d ABC: `` Generating dependency: /src/proof/acec/acecXor.c ./depends.sh gcc `dirname src/proof/acec/acecXor.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecXor.c > src/proof/acec/acecXor.d ABC: `` Generating dependency: /src/proof/acec/acec2Mult.c ./depends.sh gcc `dirname src/proof/acec/acec2Mult.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acec2Mult.c > src/proof/acec/acec2Mult.d ABC: `` Generating dependency: /src/proof/acec/acecUtil.c ./depends.sh gcc `dirname src/proof/acec/acecUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecUtil.c > src/proof/acec/acecUtil.d ABC: `` Generating dependency: /src/proof/acec/acecTree.c ./depends.sh gcc `dirname src/proof/acec/acecTree.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecTree.c > src/proof/acec/acecTree.d ABC: `` Generating dependency: /src/proof/acec/acecSt.c ./depends.sh gcc `dirname src/proof/acec/acecSt.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecSt.c > src/proof/acec/acecSt.d ABC: `` Generating dependency: /src/proof/acec/acecPolyn.c ./depends.sh gcc `dirname src/proof/acec/acecPolyn.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPolyn.c > src/proof/acec/acecPolyn.d ABC: `` Generating dependency: /src/proof/acec/acecOrder.c ./depends.sh gcc `dirname src/proof/acec/acecOrder.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecOrder.c > src/proof/acec/acecOrder.d ABC: `` Generating dependency: /src/proof/acec/acecNorm.c ./depends.sh gcc `dirname src/proof/acec/acecNorm.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecNorm.c > src/proof/acec/acecNorm.d ABC: `` Generating dependency: /src/proof/acec/acecMult.c ./depends.sh gcc `dirname src/proof/acec/acecMult.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecMult.c > src/proof/acec/acecMult.d ABC: `` Generating dependency: /src/proof/acec/acecFadds.c ./depends.sh gcc `dirname src/proof/acec/acecFadds.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecFadds.c > src/proof/acec/acecFadds.d ABC: `` Generating dependency: /src/proof/acec/acecCover.c ./depends.sh gcc `dirname src/proof/acec/acecCover.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCover.c > src/proof/acec/acecCover.d ABC: `` Generating dependency: /src/proof/acec/acecPool.c ./depends.sh gcc `dirname src/proof/acec/acecPool.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPool.c > src/proof/acec/acecPool.d ABC: `` Generating dependency: /src/proof/acec/acecPo.c ./depends.sh gcc `dirname src/proof/acec/acecPo.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPo.c > src/proof/acec/acecPo.d ABC: `` Generating dependency: /src/proof/acec/acecPa.c ./depends.sh gcc `dirname src/proof/acec/acecPa.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPa.c > src/proof/acec/acecPa.d ABC: `` Generating dependency: /src/proof/acec/acecRe.c ABC: `` Generating dependency: /src/proof/acec/acecBo.c ./depends.sh gcc `dirname src/proof/acec/acecRe.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecRe.c > src/proof/acec/acecRe.d ./depends.sh gcc `dirname src/proof/acec/acecBo.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecBo.c > src/proof/acec/acecBo.d ABC: `` Generating dependency: /src/proof/acec/acecCo.c ./depends.sh gcc `dirname src/proof/acec/acecCo.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCo.c > src/proof/acec/acecCo.d ABC: `` Generating dependency: /src/proof/acec/acecCore.c ./depends.sh gcc `dirname src/proof/acec/acecCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCore.c > src/proof/acec/acecCore.d ABC: `` Generating dependency: /src/proof/acec/acecCl.c ./depends.sh gcc `dirname src/proof/acec/acecCl.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCl.c > src/proof/acec/acecCl.d ABC: `` Generating dependency: /src/proof/cec/cecSweep.c ./depends.sh gcc `dirname src/proof/cec/cecSweep.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSweep.c > src/proof/cec/cecSweep.d ABC: `` Generating dependency: /src/proof/cec/cecSynth.c ./depends.sh gcc `dirname src/proof/cec/cecSynth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSynth.c > src/proof/cec/cecSynth.d ABC: `` Generating dependency: /src/proof/cec/cecSplit.c ./depends.sh gcc `dirname src/proof/cec/cecSplit.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSplit.c > src/proof/cec/cecSplit.d ABC: `` Generating dependency: /src/proof/cec/cecSolve.c ./depends.sh gcc `dirname src/proof/cec/cecSolve.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSolve.c > src/proof/cec/cecSolve.d ABC: `` Generating dependency: /src/proof/cec/cecSeq.c ./depends.sh gcc `dirname src/proof/cec/cecSeq.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSeq.c > src/proof/cec/cecSeq.d ABC: `` Generating dependency: /src/proof/cec/cecSatG.c ./depends.sh gcc `dirname src/proof/cec/cecSatG.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSatG.c > src/proof/cec/cecSatG.d ABC: `` Generating dependency: /src/proof/cec/cecSat.c ./depends.sh gcc `dirname src/proof/cec/cecSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSat.c > src/proof/cec/cecSat.d ABC: `` Generating dependency: /src/proof/cec/cecPat.c ./depends.sh gcc `dirname src/proof/cec/cecPat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecPat.c > src/proof/cec/cecPat.d ABC: `` Generating dependency: /src/proof/cec/cecMan.c ./depends.sh gcc `dirname src/proof/cec/cecMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecMan.c > src/proof/cec/cecMan.d ABC: `` Generating dependency: /src/proof/cec/cecIso.c ./depends.sh gcc `dirname src/proof/cec/cecIso.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecIso.c > src/proof/cec/cecIso.d ABC: `` Generating dependency: /src/proof/cec/cecCorr.c ./depends.sh gcc `dirname src/proof/cec/cecCorr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCorr.c > src/proof/cec/cecCorr.d ABC: `` Generating dependency: /src/proof/cec/cecCore.c ./depends.sh gcc `dirname src/proof/cec/cecCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCore.c > src/proof/cec/cecCore.d ABC: `` Generating dependency: /src/proof/cec/cecClass.c ./depends.sh gcc `dirname src/proof/cec/cecClass.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecClass.c > src/proof/cec/cecClass.d ABC: `` Generating dependency: /src/proof/cec/cecChoice.c ./depends.sh gcc `dirname src/proof/cec/cecChoice.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecChoice.c > src/proof/cec/cecChoice.d ABC: `` Generating dependency: /src/proof/cec/cecCec.c ./depends.sh gcc `dirname src/proof/cec/cecCec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCec.c > src/proof/cec/cecCec.d ABC: `` Generating dependency: /src/proof/int/intUtil.c ./depends.sh gcc `dirname src/proof/int/intUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intUtil.c > src/proof/int/intUtil.d ABC: `` Generating dependency: /src/proof/int/intMan.c ./depends.sh gcc `dirname src/proof/int/intMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intMan.c > src/proof/int/intMan.d ABC: `` Generating dependency: /src/proof/int/intM114.c ./depends.sh gcc `dirname src/proof/int/intM114.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intM114.c > src/proof/int/intM114.d ABC: `` Generating dependency: /src/proof/int/intInter.c ./depends.sh gcc `dirname src/proof/int/intInter.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intInter.c > src/proof/int/intInter.d ABC: `` Generating dependency: /src/proof/int/intFrames.c ./depends.sh gcc `dirname src/proof/int/intFrames.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intFrames.c > src/proof/int/intFrames.d ABC: `` Generating dependency: /src/proof/int/intDup.c ./depends.sh gcc `dirname src/proof/int/intDup.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intDup.c > src/proof/int/intDup.d ABC: `` Generating dependency: /src/proof/int/intCtrex.c ./depends.sh gcc `dirname src/proof/int/intCtrex.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCtrex.c > src/proof/int/intCtrex.d ABC: `` Generating dependency: /src/proof/int/intCore.c ./depends.sh gcc `dirname src/proof/int/intCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCore.c > src/proof/int/intCore.d ABC: `` Generating dependency: /src/proof/int/intContain.c ./depends.sh gcc `dirname src/proof/int/intContain.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intContain.c > src/proof/int/intContain.d ABC: `` Generating dependency: /src/proof/int/intCheck.c ./depends.sh gcc `dirname src/proof/int/intCheck.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCheck.c > src/proof/int/intCheck.d ABC: `` Generating dependency: /src/proof/ssc/sscUtil.c ./depends.sh gcc `dirname src/proof/ssc/sscUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscUtil.c > src/proof/ssc/sscUtil.d ABC: `` Generating dependency: /src/proof/ssc/sscSim.c ./depends.sh gcc `dirname src/proof/ssc/sscSim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscSim.c > src/proof/ssc/sscSim.d ABC: `` Generating dependency: /src/proof/ssc/sscSat.c ./depends.sh gcc `dirname src/proof/ssc/sscSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscSat.c > src/proof/ssc/sscSat.d ABC: `` Generating dependency: /src/proof/ssc/sscCore.c ./depends.sh gcc `dirname src/proof/ssc/sscCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscCore.c > src/proof/ssc/sscCore.d ABC: `` Generating dependency: /src/proof/ssc/sscClass.c ./depends.sh gcc `dirname src/proof/ssc/sscClass.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscClass.c > src/proof/ssc/sscClass.d ABC: `` Generating dependency: /src/proof/live/combination.c ./depends.sh gcc `dirname src/proof/live/combination.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/combination.c > src/proof/live/combination.d ABC: `` Generating dependency: /src/proof/live/kLiveConstraints.c ./depends.sh gcc `dirname src/proof/live/kLiveConstraints.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/kLiveConstraints.c > src/proof/live/kLiveConstraints.d ABC: `` Generating dependency: /src/proof/live/arenaViolation.c ./depends.sh gcc `dirname src/proof/live/arenaViolation.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/arenaViolation.c > src/proof/live/arenaViolation.d ABC: `` Generating dependency: /src/proof/live/disjunctiveMonotone.c ./depends.sh gcc `dirname src/proof/live/disjunctiveMonotone.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/disjunctiveMonotone.c > src/proof/live/disjunctiveMonotone.d ABC: `` Generating dependency: /src/proof/live/monotone.c ./depends.sh gcc `dirname src/proof/live/monotone.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/monotone.c > src/proof/live/monotone.d ABC: `` Generating dependency: /src/proof/live/kliveness.c ./depends.sh gcc `dirname src/proof/live/kliveness.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/kliveness.c > src/proof/live/kliveness.d ABC: `` Generating dependency: /src/proof/live/ltl_parser.c ./depends.sh gcc `dirname src/proof/live/ltl_parser.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/ltl_parser.c > src/proof/live/ltl_parser.d ABC: `` Generating dependency: /src/proof/live/liveness_sim.c ./depends.sh gcc `dirname src/proof/live/liveness_sim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/liveness_sim.c > src/proof/live/liveness_sim.d ABC: `` Generating dependency: /src/proof/live/liveness.c ./depends.sh gcc `dirname src/proof/live/liveness.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/liveness.c > src/proof/live/liveness.d ABC: `` Generating dependency: /src/proof/abs/absUtil.c ./depends.sh gcc `dirname src/proof/abs/absUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absUtil.c > src/proof/abs/absUtil.d ABC: `` Generating dependency: /src/proof/abs/absVta.c ./depends.sh gcc `dirname src/proof/abs/absVta.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absVta.c > src/proof/abs/absVta.d ABC: `` Generating dependency: /src/proof/abs/absRpmOld.c ./depends.sh gcc `dirname src/proof/abs/absRpmOld.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRpmOld.c > src/proof/abs/absRpmOld.d ABC: `` Generating dependency: /src/proof/abs/absRpm.c ./depends.sh gcc `dirname src/proof/abs/absRpm.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRpm.c > src/proof/abs/absRpm.d ABC: `` Generating dependency: /src/proof/abs/absRefSelect.c ./depends.sh gcc `dirname src/proof/abs/absRefSelect.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRefSelect.c > src/proof/abs/absRefSelect.d ABC: `` Generating dependency: /src/proof/abs/absRef.c ./depends.sh gcc `dirname src/proof/abs/absRef.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRef.c > src/proof/abs/absRef.d ABC: `` Generating dependency: /src/proof/abs/absPth.c ./depends.sh gcc `dirname src/proof/abs/absPth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absPth.c > src/proof/abs/absPth.d ABC: `` Generating dependency: /src/proof/abs/absOut.c ./depends.sh gcc `dirname src/proof/abs/absOut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOut.c > src/proof/abs/absOut.d ABC: `` Generating dependency: /src/proof/abs/absOldSim.c ./depends.sh gcc `dirname src/proof/abs/absOldSim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldSim.c > src/proof/abs/absOldSim.d ABC: `` Generating dependency: /src/proof/abs/absOldSat.c ABC: `` Generating dependency: /src/proof/abs/absOldRef.c ./depends.sh gcc `dirname src/proof/abs/absOldSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldSat.c > src/proof/abs/absOldSat.d ./depends.sh gcc `dirname src/proof/abs/absOldRef.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldRef.c > src/proof/abs/absOldRef.d ABC: `` Generating dependency: /src/proof/abs/absOldCex.c ./depends.sh gcc `dirname src/proof/abs/absOldCex.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldCex.c > src/proof/abs/absOldCex.d ABC: `` Generating dependency: /src/proof/abs/absIter.c ./depends.sh gcc `dirname src/proof/abs/absIter.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absIter.c > src/proof/abs/absIter.d ABC: `` Generating dependency: /src/proof/abs/absGlaOld.c ./depends.sh gcc `dirname src/proof/abs/absGlaOld.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absGlaOld.c > src/proof/abs/absGlaOld.d ABC: `` Generating dependency: /src/proof/abs/absGla.c ./depends.sh gcc `dirname src/proof/abs/absGla.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absGla.c > src/proof/abs/absGla.d ABC: `` Generating dependency: /src/proof/abs/absDup.c ./depends.sh gcc `dirname src/proof/abs/absDup.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absDup.c > src/proof/abs/absDup.d ABC: `` Generating dependency: /src/proof/pdr/pdrUtil.c ./depends.sh gcc `dirname src/proof/pdr/pdrUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrUtil.c > src/proof/pdr/pdrUtil.d ABC: `` Generating dependency: /src/proof/pdr/pdrTsim3.c ./depends.sh gcc `dirname src/proof/pdr/pdrTsim3.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrTsim3.c > src/proof/pdr/pdrTsim3.d ABC: `` Generating dependency: /src/proof/pdr/pdrTsim2.c ./depends.sh gcc `dirname src/proof/pdr/pdrTsim2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrTsim2.c > src/proof/pdr/pdrTsim2.d ABC: `` Generating dependency: /src/proof/pdr/pdrTsim.c ./depends.sh gcc `dirname src/proof/pdr/pdrTsim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrTsim.c > src/proof/pdr/pdrTsim.d ABC: `` Generating dependency: /src/proof/pdr/pdrSat.c ./depends.sh gcc `dirname src/proof/pdr/pdrSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrSat.c > src/proof/pdr/pdrSat.d ABC: `` Generating dependency: /src/proof/pdr/pdrMan.c ./depends.sh gcc `dirname src/proof/pdr/pdrMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrMan.c > src/proof/pdr/pdrMan.d ABC: `` Generating dependency: /src/proof/pdr/pdrInv.c ./depends.sh gcc `dirname src/proof/pdr/pdrInv.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrInv.c > src/proof/pdr/pdrInv.d ABC: `` Generating dependency: /src/proof/pdr/pdrIncr.c ./depends.sh gcc `dirname src/proof/pdr/pdrIncr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrIncr.c > src/proof/pdr/pdrIncr.d ABC: `` Generating dependency: /src/proof/pdr/pdrCore.c ./depends.sh gcc `dirname src/proof/pdr/pdrCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrCore.c > src/proof/pdr/pdrCore.d ABC: `` Generating dependency: /src/proof/pdr/pdrCnf.c ./depends.sh gcc `dirname src/proof/pdr/pdrCnf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrCnf.c > src/proof/pdr/pdrCnf.d ABC: `` Generating dependency: /src/bool/rpo/rpo.c ./depends.sh gcc `dirname src/bool/rpo/rpo.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rpo/rpo.c > src/bool/rpo/rpo.d ABC: `` Generating dependency: /src/bool/rsb/rsbMan.c ./depends.sh gcc `dirname src/bool/rsb/rsbMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rsb/rsbMan.c > src/bool/rsb/rsbMan.d ABC: `` Generating dependency: /src/bool/rsb/rsbDec6.c ./depends.sh gcc `dirname src/bool/rsb/rsbDec6.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rsb/rsbDec6.c > src/bool/rsb/rsbDec6.d ABC: `` Generating dependency: /src/bool/lucky/luckySwap.c ./depends.sh gcc `dirname src/bool/lucky/luckySwap.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySwap.c > src/bool/lucky/luckySwap.d ABC: `` Generating dependency: /src/bool/lucky/luckySwapIJ.c ./depends.sh gcc `dirname src/bool/lucky/luckySwapIJ.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySwapIJ.c > src/bool/lucky/luckySwapIJ.d ABC: `` Generating dependency: /src/bool/lucky/luckySimple.c ./depends.sh gcc `dirname src/bool/lucky/luckySimple.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySimple.c > src/bool/lucky/luckySimple.d ABC: `` Generating dependency: /src/bool/lucky/luckyRead.c ./depends.sh gcc `dirname src/bool/lucky/luckyRead.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckyRead.c > src/bool/lucky/luckyRead.d ABC: `` Generating dependency: /src/bool/lucky/luckyFast6.c ./depends.sh gcc `dirname src/bool/lucky/luckyFast6.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckyFast6.c > src/bool/lucky/luckyFast6.d ABC: `` Generating dependency: /src/bool/lucky/luckyFast16.c ./depends.sh gcc `dirname src/bool/lucky/luckyFast16.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckyFast16.c > src/bool/lucky/luckyFast16.d ABC: `` Generating dependency: /src/bool/lucky/lucky.c ./depends.sh gcc `dirname src/bool/lucky/lucky.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/lucky.c > src/bool/lucky/lucky.d ABC: `` Generating dependency: /src/bool/kit/kitTruth.c ./depends.sh gcc `dirname src/bool/kit/kitTruth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitTruth.c > src/bool/kit/kitTruth.d ABC: `` Generating dependency: /src/bool/kit/kitSop.c ./depends.sh gcc `dirname src/bool/kit/kitSop.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitSop.c > src/bool/kit/kitSop.d ABC: `` Generating dependency: /src/bool/kit/kitPla.c ./depends.sh gcc `dirname src/bool/kit/kitPla.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitPla.c > src/bool/kit/kitPla.d ABC: `` Generating dependency: /src/bool/kit/kitIsop.c ./depends.sh gcc `dirname src/bool/kit/kitIsop.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitIsop.c > src/bool/kit/kitIsop.d ABC: `` Generating dependency: /src/bool/kit/kitHop.c ./depends.sh gcc `dirname src/bool/kit/kitHop.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitHop.c > src/bool/kit/kitHop.d ABC: `` Generating dependency: /src/bool/kit/kitGraph.c ./depends.sh gcc `dirname src/bool/kit/kitGraph.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitGraph.c > src/bool/kit/kitGraph.d ABC: `` Generating dependency: /src/bool/kit/kitFactor.c ./depends.sh gcc `dirname src/bool/kit/kitFactor.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitFactor.c > src/bool/kit/kitFactor.d ABC: `` Generating dependency: /src/bool/kit/kitDsd.c ./depends.sh gcc `dirname src/bool/kit/kitDsd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitDsd.c > src/bool/kit/kitDsd.d ABC: `` Generating dependency: /src/bool/kit/cloud.c ./depends.sh gcc `dirname src/bool/kit/cloud.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/cloud.c > src/bool/kit/cloud.d ABC: `` Generating dependency: /src/bool/kit/kitCloud.c ./depends.sh gcc `dirname src/bool/kit/kitCloud.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitCloud.c > src/bool/kit/kitCloud.d ABC: `` Generating dependency: /src/bool/kit/kitBdd.c ./depends.sh gcc `dirname src/bool/kit/kitBdd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitBdd.c > src/bool/kit/kitBdd.d ABC: `` Generating dependency: /src/bool/kit/kitAig.c ./depends.sh gcc `dirname src/bool/kit/kitAig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitAig.c > src/bool/kit/kitAig.d ABC: `` Generating dependency: /src/bool/dec/decUtil.c ABC: `` Generating dependency: /src/bool/dec/decPrint.c ./depends.sh gcc `dirname src/bool/dec/decUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decUtil.c > src/bool/dec/decUtil.d ./depends.sh gcc `dirname src/bool/dec/decPrint.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decPrint.c > src/bool/dec/decPrint.d ABC: `` Generating dependency: /src/bool/dec/decMan.c ./depends.sh gcc `dirname src/bool/dec/decMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decMan.c > src/bool/dec/decMan.d ABC: `` Generating dependency: /src/bool/dec/decFactor.c ./depends.sh gcc `dirname src/bool/dec/decFactor.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decFactor.c > src/bool/dec/decFactor.d ABC: `` Generating dependency: /src/bool/dec/decAbc.c ./depends.sh gcc `dirname src/bool/dec/decAbc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decAbc.c > src/bool/dec/decAbc.d ABC: `` Generating dependency: /src/bool/bdc/bdcTable.c ./depends.sh gcc `dirname src/bool/bdc/bdcTable.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcTable.c > src/bool/bdc/bdcTable.d ABC: `` Generating dependency: /src/bool/bdc/bdcSpfd.c ./depends.sh gcc `dirname src/bool/bdc/bdcSpfd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcSpfd.c > src/bool/bdc/bdcSpfd.d ABC: `` Generating dependency: /src/bool/bdc/bdcDec.c ./depends.sh gcc `dirname src/bool/bdc/bdcDec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcDec.c > src/bool/bdc/bdcDec.d ABC: `` Generating dependency: /src/bool/bdc/bdcCore.c ./depends.sh gcc `dirname src/bool/bdc/bdcCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcCore.c > src/bool/bdc/bdcCore.d ABC: `` Generating dependency: /src/sat/bmc/bmcUnroll.c ./depends.sh gcc `dirname src/sat/bmc/bmcUnroll.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcUnroll.c > src/sat/bmc/bmcUnroll.d ABC: `` Generating dependency: /src/sat/bmc/bmcMulti.c ./depends.sh gcc `dirname src/sat/bmc/bmcMulti.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMulti.c > src/sat/bmc/bmcMulti.d ABC: `` Generating dependency: /src/sat/bmc/bmcMesh2.c ./depends.sh gcc `dirname src/sat/bmc/bmcMesh2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMesh2.c > src/sat/bmc/bmcMesh2.d ABC: `` Generating dependency: /src/sat/bmc/bmcMesh.c ./depends.sh gcc `dirname src/sat/bmc/bmcMesh.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMesh.c > src/sat/bmc/bmcMesh.d ABC: `` Generating dependency: /src/sat/bmc/bmcMaxi.c ./depends.sh gcc `dirname src/sat/bmc/bmcMaxi.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaxi.c > src/sat/bmc/bmcMaxi.d ABC: `` Generating dependency: /src/sat/bmc/bmcMaj3.c ./depends.sh gcc `dirname src/sat/bmc/bmcMaj3.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaj3.c > src/sat/bmc/bmcMaj3.d ABC: `` Generating dependency: /src/sat/bmc/bmcMaj2.c ./depends.sh gcc `dirname src/sat/bmc/bmcMaj2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaj2.c > src/sat/bmc/bmcMaj2.d ABC: `` Generating dependency: /src/sat/bmc/bmcMaj.c ABC: `` Generating dependency: /src/sat/bmc/bmcLoad.c ./depends.sh gcc `dirname src/sat/bmc/bmcMaj.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaj.c > src/sat/bmc/bmcMaj.d ./depends.sh gcc `dirname src/sat/bmc/bmcLoad.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcLoad.c > src/sat/bmc/bmcLoad.d ABC: `` Generating dependency: /src/sat/bmc/bmcInse.c ./depends.sh gcc `dirname src/sat/bmc/bmcInse.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcInse.c > src/sat/bmc/bmcInse.d ABC: `` Generating dependency: /src/sat/bmc/bmcICheck.c ./depends.sh gcc `dirname src/sat/bmc/bmcICheck.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcICheck.c > src/sat/bmc/bmcICheck.d ABC: `` Generating dependency: /src/sat/bmc/bmcGen.c ./depends.sh gcc `dirname src/sat/bmc/bmcGen.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcGen.c > src/sat/bmc/bmcGen.d ABC: `` Generating dependency: /src/sat/bmc/bmcFx.c ./depends.sh gcc `dirname src/sat/bmc/bmcFx.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcFx.c > src/sat/bmc/bmcFx.d ABC: `` Generating dependency: /src/sat/bmc/bmcFault.c ABC: `` Generating dependency: /src/sat/bmc/bmcExpand.c ./depends.sh gcc `dirname src/sat/bmc/bmcFault.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcFault.c > src/sat/bmc/bmcFault.d ./depends.sh gcc `dirname src/sat/bmc/bmcExpand.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcExpand.c > src/sat/bmc/bmcExpand.d ABC: `` Generating dependency: /src/sat/bmc/bmcEco.c ./depends.sh gcc `dirname src/sat/bmc/bmcEco.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcEco.c > src/sat/bmc/bmcEco.d ABC: `` Generating dependency: /src/sat/bmc/bmcClp.c ./depends.sh gcc `dirname src/sat/bmc/bmcClp.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcClp.c > src/sat/bmc/bmcClp.d ABC: `` Generating dependency: /src/sat/bmc/bmcChain.c ./depends.sh gcc `dirname src/sat/bmc/bmcChain.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcChain.c > src/sat/bmc/bmcChain.d ABC: `` Generating dependency: /src/sat/bmc/bmcCexTools.c ./depends.sh gcc `dirname src/sat/bmc/bmcCexTools.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexTools.c > src/sat/bmc/bmcCexTools.d ABC: `` Generating dependency: /src/sat/bmc/bmcCexMin2.c ./depends.sh gcc `dirname src/sat/bmc/bmcCexMin2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexMin2.c > src/sat/bmc/bmcCexMin2.d ABC: `` Generating dependency: /src/sat/bmc/bmcCexMin1.c ./depends.sh gcc `dirname src/sat/bmc/bmcCexMin1.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexMin1.c > src/sat/bmc/bmcCexMin1.d ABC: `` Generating dependency: /src/sat/bmc/bmcCexDepth.c ./depends.sh gcc `dirname src/sat/bmc/bmcCexDepth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexDepth.c > src/sat/bmc/bmcCexDepth.d ABC: `` Generating dependency: /src/sat/bmc/bmcCexCut.c ./depends.sh gcc `dirname src/sat/bmc/bmcCexCut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexCut.c > src/sat/bmc/bmcCexCut.d ABC: `` Generating dependency: /src/sat/bmc/bmcCexCare.c ./depends.sh gcc `dirname src/sat/bmc/bmcCexCare.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexCare.c > src/sat/bmc/bmcCexCare.d ABC: `` Generating dependency: /src/sat/bmc/bmcBmcS.c ./depends.sh gcc `dirname src/sat/bmc/bmcBmcS.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcS.c > src/sat/bmc/bmcBmcS.d ABC: `` Generating dependency: /src/sat/bmc/bmcBmcG.c ./depends.sh gcc `dirname src/sat/bmc/bmcBmcG.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcG.c > src/sat/bmc/bmcBmcG.d ABC: `` Generating dependency: /src/sat/bmc/bmcBmci.c ./depends.sh gcc `dirname src/sat/bmc/bmcBmci.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmci.c > src/sat/bmc/bmcBmci.d ABC: `` Generating dependency: /src/sat/bmc/bmcBmcAnd.c ./depends.sh gcc `dirname src/sat/bmc/bmcBmcAnd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcAnd.c > src/sat/bmc/bmcBmcAnd.d ABC: `` Generating dependency: /src/sat/bmc/bmcBmc3.c ./depends.sh gcc `dirname src/sat/bmc/bmcBmc3.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc3.c > src/sat/bmc/bmcBmc3.d ABC: `` Generating dependency: /src/sat/bmc/bmcBmc2.c ./depends.sh gcc `dirname src/sat/bmc/bmcBmc2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc2.c > src/sat/bmc/bmcBmc2.d ABC: `` Generating dependency: /src/sat/bmc/bmcBmc.c ./depends.sh gcc `dirname src/sat/bmc/bmcBmc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc.c > src/sat/bmc/bmcBmc.d ABC: `` Generating dependency: /src/sat/bmc/bmcBCore.c ./depends.sh gcc `dirname src/sat/bmc/bmcBCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBCore.c > src/sat/bmc/bmcBCore.d ABC: `` Generating dependency: /src/sat/cnf/cnfWrite.c ./depends.sh gcc `dirname src/sat/cnf/cnfWrite.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfWrite.c > src/sat/cnf/cnfWrite.d ABC: `` Generating dependency: /src/sat/cnf/cnfUtil.c ./depends.sh gcc `dirname src/sat/cnf/cnfUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfUtil.c > src/sat/cnf/cnfUtil.d ABC: `` Generating dependency: /src/sat/cnf/cnfPost.c ./depends.sh gcc `dirname src/sat/cnf/cnfPost.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfPost.c > src/sat/cnf/cnfPost.d ABC: `` Generating dependency: /src/sat/cnf/cnfMap.c ./depends.sh gcc `dirname src/sat/cnf/cnfMap.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfMap.c > src/sat/cnf/cnfMap.d ABC: `` Generating dependency: /src/sat/cnf/cnfMan.c ./depends.sh gcc `dirname src/sat/cnf/cnfMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfMan.c > src/sat/cnf/cnfMan.d ABC: `` Generating dependency: /src/sat/cnf/cnfFast.c ./depends.sh gcc `dirname src/sat/cnf/cnfFast.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfFast.c > src/sat/cnf/cnfFast.d ABC: `` Generating dependency: /src/sat/cnf/cnfData.c ./depends.sh gcc `dirname src/sat/cnf/cnfData.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfData.c > src/sat/cnf/cnfData.d ABC: `` Generating dependency: /src/sat/cnf/cnfCut.c ./depends.sh gcc `dirname src/sat/cnf/cnfCut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfCut.c > src/sat/cnf/cnfCut.d ABC: `` Generating dependency: /src/sat/cnf/cnfCore.c ./depends.sh gcc `dirname src/sat/cnf/cnfCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfCore.c > src/sat/cnf/cnfCore.d ABC: `` Generating dependency: /src/sat/msat/msatVec.c ABC: `` Generating dependency: /src/sat/msat/msatSort.c ./depends.sh gcc `dirname src/sat/msat/msatSort.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSort.c > src/sat/msat/msatSort.d ./depends.sh gcc `dirname src/sat/msat/msatVec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatVec.c > src/sat/msat/msatVec.d ABC: `` Generating dependency: /src/sat/msat/msatSolverSearch.c ./depends.sh gcc `dirname src/sat/msat/msatSolverSearch.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverSearch.c > src/sat/msat/msatSolverSearch.d ABC: `` Generating dependency: /src/sat/msat/msatSolverIo.c ./depends.sh gcc `dirname src/sat/msat/msatSolverIo.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverIo.c > src/sat/msat/msatSolverIo.d ABC: `` Generating dependency: /src/sat/msat/msatSolverCore.c ./depends.sh gcc `dirname src/sat/msat/msatSolverCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverCore.c > src/sat/msat/msatSolverCore.d ABC: `` Generating dependency: /src/sat/msat/msatSolverApi.c ./depends.sh gcc `dirname src/sat/msat/msatSolverApi.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverApi.c > src/sat/msat/msatSolverApi.d ABC: `` Generating dependency: /src/sat/msat/msatRead.c ./depends.sh gcc `dirname src/sat/msat/msatRead.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatRead.c > src/sat/msat/msatRead.d ABC: `` Generating dependency: /src/sat/msat/msatQueue.c ./depends.sh gcc `dirname src/sat/msat/msatQueue.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatQueue.c > src/sat/msat/msatQueue.d ABC: `` Generating dependency: /src/sat/msat/msatOrderH.c ./depends.sh gcc `dirname src/sat/msat/msatOrderH.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatOrderH.c > src/sat/msat/msatOrderH.d ABC: `` Generating dependency: /src/sat/msat/msatMem.c ./depends.sh gcc `dirname src/sat/msat/msatMem.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatMem.c > src/sat/msat/msatMem.d ABC: `` Generating dependency: /src/sat/msat/msatClauseVec.c ./depends.sh gcc `dirname src/sat/msat/msatClauseVec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatClauseVec.c > src/sat/msat/msatClauseVec.d ABC: `` Generating dependency: /src/sat/msat/msatActivity.c ABC: `` Generating dependency: /src/sat/msat/msatClause.c ./depends.sh gcc `dirname src/sat/msat/msatActivity.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatActivity.c > src/sat/msat/msatActivity.d ./depends.sh gcc `dirname src/sat/msat/msatClause.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatClause.c > src/sat/msat/msatClause.d ABC: `` Generating dependency: /src/sat/csat/csat_apis.c ./depends.sh gcc `dirname src/sat/csat/csat_apis.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/csat/csat_apis.c > src/sat/csat/csat_apis.d ABC: `` Generating dependency: /src/sat/satoko/cnf_reader.c ./depends.sh gcc `dirname src/sat/satoko/cnf_reader.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/cnf_reader.c > src/sat/satoko/cnf_reader.d ABC: `` Generating dependency: /src/sat/satoko/solver_api.c ./depends.sh gcc `dirname src/sat/satoko/solver_api.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/solver_api.c > src/sat/satoko/solver_api.d ABC: `` Generating dependency: /src/sat/satoko/solver.c ./depends.sh gcc `dirname src/sat/satoko/solver.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/solver.c > src/sat/satoko/solver.d ABC: `` Generating dependency: /src/sat/xsat/xsatCnfReader.c ./depends.sh gcc `dirname src/sat/xsat/xsatCnfReader.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatCnfReader.c > src/sat/xsat/xsatCnfReader.d ABC: `` Generating dependency: /src/sat/xsat/xsatSolverAPI.c ./depends.sh gcc `dirname src/sat/xsat/xsatSolverAPI.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatSolverAPI.c > src/sat/xsat/xsatSolverAPI.d ABC: `` Generating dependency: /src/sat/xsat/xsatSolver.c ./depends.sh gcc `dirname src/sat/xsat/xsatSolver.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatSolver.c > src/sat/xsat/xsatSolver.d ABC: `` Generating dependency: /src/sat/bsat/satUtil.c ./depends.sh gcc `dirname src/sat/bsat/satUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satUtil.c > src/sat/bsat/satUtil.d ABC: `` Generating dependency: /src/sat/bsat/satTruth.c ./depends.sh gcc `dirname src/sat/bsat/satTruth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satTruth.c > src/sat/bsat/satTruth.d ABC: `` Generating dependency: /src/sat/bsat/satTrace.c ./depends.sh gcc `dirname src/sat/bsat/satTrace.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satTrace.c > src/sat/bsat/satTrace.d ABC: `` Generating dependency: /src/sat/bsat/satStore.c ./depends.sh gcc `dirname src/sat/bsat/satStore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satStore.c > src/sat/bsat/satStore.d ABC: `` Generating dependency: /src/sat/bsat/satSolver3.c ./depends.sh gcc `dirname src/sat/bsat/satSolver3.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver3.c > src/sat/bsat/satSolver3.d ABC: `` Generating dependency: /src/sat/bsat/satSolver2i.c ./depends.sh gcc `dirname src/sat/bsat/satSolver2i.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver2i.c > src/sat/bsat/satSolver2i.d ABC: `` Generating dependency: /src/sat/bsat/satSolver2.c ./depends.sh gcc `dirname src/sat/bsat/satSolver2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver2.c > src/sat/bsat/satSolver2.d ABC: `` Generating dependency: /src/sat/bsat/satSolver.c ./depends.sh gcc `dirname src/sat/bsat/satSolver.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver.c > src/sat/bsat/satSolver.d ABC: `` Generating dependency: /src/sat/bsat/satProof.c ./depends.sh gcc `dirname src/sat/bsat/satProof.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satProof.c > src/sat/bsat/satProof.d ABC: `` Generating dependency: /src/sat/bsat/satInterP.c ./depends.sh gcc `dirname src/sat/bsat/satInterP.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterP.c > src/sat/bsat/satInterP.d ABC: `` Generating dependency: /src/sat/bsat/satInterB.c ./depends.sh gcc `dirname src/sat/bsat/satInterB.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterB.c > src/sat/bsat/satInterB.d ABC: `` Generating dependency: /src/sat/bsat/satInterA.c ./depends.sh gcc `dirname src/sat/bsat/satInterA.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterA.c > src/sat/bsat/satInterA.d ABC: `` Generating dependency: /src/sat/bsat/satInter.c ./depends.sh gcc `dirname src/sat/bsat/satInter.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInter.c > src/sat/bsat/satInter.d ABC: `` Generating dependency: /src/sat/bsat/satMem.c ./depends.sh gcc `dirname src/sat/bsat/satMem.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satMem.c > src/sat/bsat/satMem.d ABC: `` Generating dependency: /src/opt/sbd/sbdWin.c ./depends.sh gcc `dirname src/opt/sbd/sbdWin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdWin.c > src/opt/sbd/sbdWin.d ABC: `` Generating dependency: /src/opt/sbd/sbdSat.c ./depends.sh gcc `dirname src/opt/sbd/sbdSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdSat.c > src/opt/sbd/sbdSat.d ABC: `` Generating dependency: /src/opt/sbd/sbdPath.c ./depends.sh gcc `dirname src/opt/sbd/sbdPath.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdPath.c > src/opt/sbd/sbdPath.d ABC: `` Generating dependency: /src/opt/sbd/sbdLut.c ./depends.sh gcc `dirname src/opt/sbd/sbdLut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdLut.c > src/opt/sbd/sbdLut.d ABC: `` Generating dependency: /src/opt/sbd/sbdCut2.c ./depends.sh gcc `dirname src/opt/sbd/sbdCut2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCut2.c > src/opt/sbd/sbdCut2.d ABC: `` Generating dependency: /src/opt/sbd/sbdCut.c ./depends.sh gcc `dirname src/opt/sbd/sbdCut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCut.c > src/opt/sbd/sbdCut.d ABC: `` Generating dependency: /src/opt/sbd/sbdCore.c ./depends.sh gcc `dirname src/opt/sbd/sbdCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCore.c > src/opt/sbd/sbdCore.d ABC: `` Generating dependency: /src/opt/sbd/sbdCnf.c ./depends.sh gcc `dirname src/opt/sbd/sbdCnf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCnf.c > src/opt/sbd/sbdCnf.d ABC: `` Generating dependency: /src/opt/sbd/sbd.c ./depends.sh gcc `dirname src/opt/sbd/sbd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbd.c > src/opt/sbd/sbd.d ABC: `` Generating dependency: /src/opt/sfm/sfmWin.c ./depends.sh gcc `dirname src/opt/sfm/sfmWin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmWin.c > src/opt/sfm/sfmWin.d ABC: `` Generating dependency: /src/opt/sfm/sfmMit.c ./depends.sh gcc `dirname src/opt/sfm/sfmMit.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmMit.c > src/opt/sfm/sfmMit.d ABC: `` Generating dependency: /src/opt/sfm/sfmTim.c ./depends.sh gcc `dirname src/opt/sfm/sfmTim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmTim.c > src/opt/sfm/sfmTim.d ABC: `` Generating dependency: /src/opt/sfm/sfmSat.c ./depends.sh gcc `dirname src/opt/sfm/sfmSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmSat.c > src/opt/sfm/sfmSat.d ABC: `` Generating dependency: /src/opt/sfm/sfmNtk.c ./depends.sh gcc `dirname src/opt/sfm/sfmNtk.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmNtk.c > src/opt/sfm/sfmNtk.d ABC: `` Generating dependency: /src/opt/sfm/sfmLib.c ./depends.sh gcc `dirname src/opt/sfm/sfmLib.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmLib.c > src/opt/sfm/sfmLib.d ABC: `` Generating dependency: /src/opt/sfm/sfmDec.c ./depends.sh gcc `dirname src/opt/sfm/sfmDec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmDec.c > src/opt/sfm/sfmDec.d ABC: `` Generating dependency: /src/opt/sfm/sfmCore.c ./depends.sh gcc `dirname src/opt/sfm/sfmCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmCore.c > src/opt/sfm/sfmCore.d ABC: `` Generating dependency: /src/opt/sfm/sfmCnf.c ./depends.sh gcc `dirname src/opt/sfm/sfmCnf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmCnf.c > src/opt/sfm/sfmCnf.d ABC: `` Generating dependency: /src/opt/sfm/sfmArea.c ./depends.sh gcc `dirname src/opt/sfm/sfmArea.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmArea.c > src/opt/sfm/sfmArea.d ABC: `` Generating dependency: /src/opt/dsc/dsc.c ./depends.sh gcc `dirname src/opt/dsc/dsc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dsc/dsc.c > src/opt/dsc/dsc.d ABC: `` Generating dependency: /src/opt/dau/dauTree.c ./depends.sh gcc `dirname src/opt/dau/dauTree.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauTree.c > src/opt/dau/dauTree.d ABC: `` Generating dependency: /src/opt/dau/dauNpn2.c ./depends.sh gcc `dirname src/opt/dau/dauNpn2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauNpn2.c > src/opt/dau/dauNpn2.d ABC: `` Generating dependency: /src/opt/dau/dauNpn.c ./depends.sh gcc `dirname src/opt/dau/dauNpn.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauNpn.c > src/opt/dau/dauNpn.d ABC: `` Generating dependency: /src/opt/dau/dauNonDsd.c ./depends.sh gcc `dirname src/opt/dau/dauNonDsd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauNonDsd.c > src/opt/dau/dauNonDsd.d ABC: `` Generating dependency: /src/opt/dau/dauMerge.c ./depends.sh gcc `dirname src/opt/dau/dauMerge.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauMerge.c > src/opt/dau/dauMerge.d ABC: `` Generating dependency: /src/opt/dau/dauGia.c ./depends.sh gcc `dirname src/opt/dau/dauGia.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauGia.c > src/opt/dau/dauGia.d ABC: `` Generating dependency: /src/opt/dau/dauEnum.c ./depends.sh gcc `dirname src/opt/dau/dauEnum.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauEnum.c > src/opt/dau/dauEnum.d ABC: `` Generating dependency: /src/opt/dau/dauDsd.c ./depends.sh gcc `dirname src/opt/dau/dauDsd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauDsd.c > src/opt/dau/dauDsd.d ABC: `` Generating dependency: /src/opt/dau/dauDivs.c ./depends.sh gcc `dirname src/opt/dau/dauDivs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauDivs.c > src/opt/dau/dauDivs.d ABC: `` Generating dependency: /src/opt/dau/dauCount.c ./depends.sh gcc `dirname src/opt/dau/dauCount.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCount.c > src/opt/dau/dauCount.d ABC: `` Generating dependency: /src/opt/dau/dauCore.c ./depends.sh gcc `dirname src/opt/dau/dauCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCore.c > src/opt/dau/dauCore.d ABC: `` Generating dependency: /src/opt/dau/dauCanon.c ./depends.sh gcc `dirname src/opt/dau/dauCanon.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCanon.c > src/opt/dau/dauCanon.d ABC: `` Generating dependency: /src/opt/dar/darScript.c ./depends.sh gcc `dirname src/opt/dar/darScript.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darScript.c > src/opt/dar/darScript.d ABC: `` Generating dependency: /src/opt/dar/darRefact.c ./depends.sh gcc `dirname src/opt/dar/darRefact.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darRefact.c > src/opt/dar/darRefact.d ABC: `` Generating dependency: /src/opt/dar/darPrec.c ./depends.sh gcc `dirname src/opt/dar/darPrec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darPrec.c > src/opt/dar/darPrec.d ABC: `` Generating dependency: /src/opt/dar/darMan.c ./depends.sh gcc `dirname src/opt/dar/darMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darMan.c > src/opt/dar/darMan.d ABC: `` Generating dependency: /src/opt/dar/darLib.c ./depends.sh gcc `dirname src/opt/dar/darLib.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darLib.c > src/opt/dar/darLib.d ABC: `` Generating dependency: /src/opt/dar/darData.c ./depends.sh gcc `dirname src/opt/dar/darData.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darData.c > src/opt/dar/darData.d ABC: `` Generating dependency: /src/opt/dar/darCut.c ./depends.sh gcc `dirname src/opt/dar/darCut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darCut.c > src/opt/dar/darCut.d ABC: `` Generating dependency: /src/opt/dar/darCore.c ./depends.sh gcc `dirname src/opt/dar/darCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darCore.c > src/opt/dar/darCore.d ABC: `` Generating dependency: /src/opt/dar/darBalance.c ./depends.sh gcc `dirname src/opt/dar/darBalance.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darBalance.c > src/opt/dar/darBalance.d ABC: `` Generating dependency: /src/opt/csw/cswTable.c ./depends.sh gcc `dirname src/opt/csw/cswTable.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswTable.c > src/opt/csw/cswTable.d ABC: `` Generating dependency: /src/opt/csw/cswMan.c ./depends.sh gcc `dirname src/opt/csw/cswMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswMan.c > src/opt/csw/cswMan.d ABC: `` Generating dependency: /src/opt/csw/cswCut.c ./depends.sh gcc `dirname src/opt/csw/cswCut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswCut.c > src/opt/csw/cswCut.d ABC: `` Generating dependency: /src/opt/csw/cswCore.c ./depends.sh gcc `dirname src/opt/csw/cswCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswCore.c > src/opt/csw/cswCore.d ABC: `` Generating dependency: /src/opt/cgt/cgtMan.c ./depends.sh gcc `dirname src/opt/cgt/cgtMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtMan.c > src/opt/cgt/cgtMan.d ABC: `` Generating dependency: /src/opt/cgt/cgtSat.c ./depends.sh gcc `dirname src/opt/cgt/cgtSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtSat.c > src/opt/cgt/cgtSat.d ABC: `` Generating dependency: /src/opt/cgt/cgtDecide.c ./depends.sh gcc `dirname src/opt/cgt/cgtDecide.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtDecide.c > src/opt/cgt/cgtDecide.d ABC: `` Generating dependency: /src/opt/cgt/cgtCore.c ./depends.sh gcc `dirname src/opt/cgt/cgtCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtCore.c > src/opt/cgt/cgtCore.d ABC: `` Generating dependency: /src/opt/cgt/cgtAig.c ./depends.sh gcc `dirname src/opt/cgt/cgtAig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtAig.c > src/opt/cgt/cgtAig.d ABC: `` Generating dependency: /src/opt/rwt/rwtUtil.c ./depends.sh gcc `dirname src/opt/rwt/rwtUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwt/rwtUtil.c > src/opt/rwt/rwtUtil.d ABC: `` Generating dependency: /src/opt/rwt/rwtMan.c ./depends.sh gcc `dirname src/opt/rwt/rwtMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwt/rwtMan.c > src/opt/rwt/rwtMan.d ABC: `` Generating dependency: /src/opt/rwt/rwtDec.c ./depends.sh gcc `dirname src/opt/rwt/rwtDec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwt/rwtDec.c > src/opt/rwt/rwtDec.d ABC: `` Generating dependency: /src/opt/nwk/nwkUtil.c ./depends.sh gcc `dirname src/opt/nwk/nwkUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkUtil.c > src/opt/nwk/nwkUtil.d ABC: `` Generating dependency: /src/opt/nwk/nwkTiming.c ./depends.sh gcc `dirname src/opt/nwk/nwkTiming.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkTiming.c > src/opt/nwk/nwkTiming.d ABC: `` Generating dependency: /src/opt/nwk/nwkStrash.c ./depends.sh gcc `dirname src/opt/nwk/nwkStrash.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkStrash.c > src/opt/nwk/nwkStrash.d ABC: `` Generating dependency: /src/opt/nwk/nwkSpeedup.c ./depends.sh gcc `dirname src/opt/nwk/nwkSpeedup.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkSpeedup.c > src/opt/nwk/nwkSpeedup.d ABC: `` Generating dependency: /src/opt/nwk/nwkObj.c ./depends.sh gcc `dirname src/opt/nwk/nwkObj.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkObj.c > src/opt/nwk/nwkObj.d ABC: `` Generating dependency: /src/opt/nwk/nwkMerge.c ./depends.sh gcc `dirname src/opt/nwk/nwkMerge.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMerge.c > src/opt/nwk/nwkMerge.d ABC: `` Generating dependency: /src/opt/nwk/nwkMap.c ./depends.sh gcc `dirname src/opt/nwk/nwkMap.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMap.c > src/opt/nwk/nwkMap.d ABC: `` Generating dependency: /src/opt/nwk/nwkMan.c ./depends.sh gcc `dirname src/opt/nwk/nwkMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMan.c > src/opt/nwk/nwkMan.d ABC: `` Generating dependency: /src/opt/nwk/nwkFlow.c ./depends.sh gcc `dirname src/opt/nwk/nwkFlow.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkFlow.c > src/opt/nwk/nwkFlow.d ABC: `` Generating dependency: /src/opt/nwk/nwkFanio.c ./depends.sh gcc `dirname src/opt/nwk/nwkFanio.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkFanio.c > src/opt/nwk/nwkFanio.d ABC: `` Generating dependency: /src/opt/nwk/nwkDfs.c ./depends.sh gcc `dirname src/opt/nwk/nwkDfs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkDfs.c > src/opt/nwk/nwkDfs.d ABC: `` Generating dependency: /src/opt/nwk/nwkBidec.c ./depends.sh gcc `dirname src/opt/nwk/nwkBidec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkBidec.c > src/opt/nwk/nwkBidec.d ABC: `` Generating dependency: /src/opt/nwk/nwkCheck.c ./depends.sh gcc `dirname src/opt/nwk/nwkCheck.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkCheck.c > src/opt/nwk/nwkCheck.d ABC: `` Generating dependency: /src/opt/nwk/nwkAig.c ./depends.sh gcc `dirname src/opt/nwk/nwkAig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkAig.c > src/opt/nwk/nwkAig.d ABC: `` Generating dependency: /src/opt/lpk/lpkSets.c ./depends.sh gcc `dirname src/opt/lpk/lpkSets.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkSets.c > src/opt/lpk/lpkSets.d ABC: `` Generating dependency: /src/opt/lpk/lpkMux.c ./depends.sh gcc `dirname src/opt/lpk/lpkMux.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMux.c > src/opt/lpk/lpkMux.d ABC: `` Generating dependency: /src/opt/lpk/lpkMulti.c ./depends.sh gcc `dirname src/opt/lpk/lpkMulti.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMulti.c > src/opt/lpk/lpkMulti.d ABC: `` Generating dependency: /src/opt/lpk/lpkMap.c ./depends.sh gcc `dirname src/opt/lpk/lpkMap.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMap.c > src/opt/lpk/lpkMap.d ABC: `` Generating dependency: /src/opt/lpk/lpkMan.c ./depends.sh gcc `dirname src/opt/lpk/lpkMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMan.c > src/opt/lpk/lpkMan.d ABC: `` Generating dependency: /src/opt/lpk/lpkCut.c ./depends.sh gcc `dirname src/opt/lpk/lpkCut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkCut.c > src/opt/lpk/lpkCut.d ABC: `` Generating dependency: /src/opt/lpk/lpkAbcUtil.c ./depends.sh gcc `dirname src/opt/lpk/lpkAbcUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcUtil.c > src/opt/lpk/lpkAbcUtil.d ABC: `` Generating dependency: /src/opt/lpk/lpkAbcDsd.c ./depends.sh gcc `dirname src/opt/lpk/lpkAbcDsd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcDsd.c > src/opt/lpk/lpkAbcDsd.d ABC: `` Generating dependency: /src/opt/lpk/lpkAbcMux.c ./depends.sh gcc `dirname src/opt/lpk/lpkAbcMux.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcMux.c > src/opt/lpk/lpkAbcMux.d ABC: `` Generating dependency: /src/opt/lpk/lpkAbcDec.c ./depends.sh gcc `dirname src/opt/lpk/lpkAbcDec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcDec.c > src/opt/lpk/lpkAbcDec.d ABC: `` Generating dependency: /src/opt/lpk/lpkCore.c ./depends.sh gcc `dirname src/opt/lpk/lpkCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkCore.c > src/opt/lpk/lpkCore.d ABC: `` Generating dependency: /src/opt/res/resWin.c ./depends.sh gcc `dirname src/opt/res/resWin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resWin.c > src/opt/res/resWin.d ABC: `` Generating dependency: /src/opt/res/resStrash.c ./depends.sh gcc `dirname src/opt/res/resStrash.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resStrash.c > src/opt/res/resStrash.d ABC: `` Generating dependency: /src/opt/res/resSim.c ./depends.sh gcc `dirname src/opt/res/resSim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resSim.c > src/opt/res/resSim.d ABC: `` Generating dependency: /src/opt/res/resSat.c ./depends.sh gcc `dirname src/opt/res/resSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resSat.c > src/opt/res/resSat.d ABC: `` Generating dependency: /src/opt/res/resFilter.c ./depends.sh gcc `dirname src/opt/res/resFilter.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resFilter.c > src/opt/res/resFilter.d ABC: `` Generating dependency: /src/opt/res/resDivs.c ./depends.sh gcc `dirname src/opt/res/resDivs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resDivs.c > src/opt/res/resDivs.d ABC: `` Generating dependency: /src/opt/res/resCore.c ./depends.sh gcc `dirname src/opt/res/resCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resCore.c > src/opt/res/resCore.d ABC: `` Generating dependency: /src/opt/fret/fretTime.c ./depends.sh gcc `dirname src/opt/fret/fretTime.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretTime.c > src/opt/fret/fretTime.d ABC: `` Generating dependency: /src/opt/fret/fretInit.c ./depends.sh gcc `dirname src/opt/fret/fretInit.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretInit.c > src/opt/fret/fretInit.d ABC: `` Generating dependency: /src/opt/fret/fretFlow.c ./depends.sh gcc `dirname src/opt/fret/fretFlow.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretFlow.c > src/opt/fret/fretFlow.d ABC: `` Generating dependency: /src/opt/fret/fretMain.c ABC: `` Generating dependency: /src/opt/ret/retLvalue.c ./depends.sh gcc `dirname src/opt/fret/fretMain.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretMain.c > src/opt/fret/fretMain.d ./depends.sh gcc `dirname src/opt/ret/retLvalue.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retLvalue.c > src/opt/ret/retLvalue.d ABC: `` Generating dependency: /src/opt/ret/retInit.c ./depends.sh gcc `dirname src/opt/ret/retInit.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retInit.c > src/opt/ret/retInit.d ABC: `` Generating dependency: /src/opt/ret/retIncrem.c ./depends.sh gcc `dirname src/opt/ret/retIncrem.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retIncrem.c > src/opt/ret/retIncrem.d ABC: `` Generating dependency: /src/opt/ret/retFlow.c ./depends.sh gcc `dirname src/opt/ret/retFlow.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retFlow.c > src/opt/ret/retFlow.d ABC: `` Generating dependency: /src/opt/ret/retDelay.c ./depends.sh gcc `dirname src/opt/ret/retDelay.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retDelay.c > src/opt/ret/retDelay.d ABC: `` Generating dependency: /src/opt/ret/retCore.c ./depends.sh gcc `dirname src/opt/ret/retCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retCore.c > src/opt/ret/retCore.d ABC: `` Generating dependency: /src/opt/ret/retArea.c ./depends.sh gcc `dirname src/opt/ret/retArea.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retArea.c > src/opt/ret/retArea.d ABC: `` Generating dependency: /src/opt/sim/simUtils.c ./depends.sh gcc `dirname src/opt/sim/simUtils.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simUtils.c > src/opt/sim/simUtils.d ABC: `` Generating dependency: /src/opt/sim/simSymStr.c ./depends.sh gcc `dirname src/opt/sim/simSymStr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymStr.c > src/opt/sim/simSymStr.d ABC: `` Generating dependency: /src/opt/sim/simSymSim.c ./depends.sh gcc `dirname src/opt/sim/simSymSim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymSim.c > src/opt/sim/simSymSim.d ABC: `` Generating dependency: /src/opt/sim/simSymSat.c ./depends.sh gcc `dirname src/opt/sim/simSymSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymSat.c > src/opt/sim/simSymSat.d ABC: `` Generating dependency: /src/opt/sim/simSym.c ./depends.sh gcc `dirname src/opt/sim/simSym.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSym.c > src/opt/sim/simSym.d ABC: `` Generating dependency: /src/opt/sim/simSwitch.c ./depends.sh gcc `dirname src/opt/sim/simSwitch.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSwitch.c > src/opt/sim/simSwitch.d ABC: `` Generating dependency: /src/opt/sim/simSupp.c ./depends.sh gcc `dirname src/opt/sim/simSupp.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSupp.c > src/opt/sim/simSupp.d ABC: `` Generating dependency: /src/opt/sim/simSeq.c ./depends.sh gcc `dirname src/opt/sim/simSeq.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSeq.c > src/opt/sim/simSeq.d ABC: `` Generating dependency: /src/opt/sim/simMan.c ./depends.sh gcc `dirname src/opt/sim/simMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simMan.c > src/opt/sim/simMan.d ABC: `` Generating dependency: /src/opt/mfs/mfsWin.c ABC: `` Generating dependency: /src/opt/mfs/mfsStrash.c ./depends.sh gcc `dirname src/opt/mfs/mfsWin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsWin.c > src/opt/mfs/mfsWin.d ./depends.sh gcc `dirname src/opt/mfs/mfsStrash.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsStrash.c > src/opt/mfs/mfsStrash.d ABC: `` Generating dependency: /src/opt/mfs/mfsSat.c ./depends.sh gcc `dirname src/opt/mfs/mfsSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsSat.c > src/opt/mfs/mfsSat.d ABC: `` Generating dependency: /src/opt/mfs/mfsResub.c ./depends.sh gcc `dirname src/opt/mfs/mfsResub.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsResub.c > src/opt/mfs/mfsResub.d ABC: `` Generating dependency: /src/opt/mfs/mfsMan.c ./depends.sh gcc `dirname src/opt/mfs/mfsMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsMan.c > src/opt/mfs/mfsMan.d ABC: `` Generating dependency: /src/opt/mfs/mfsInter.c ./depends.sh gcc `dirname src/opt/mfs/mfsInter.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsInter.c > src/opt/mfs/mfsInter.d ABC: `` Generating dependency: /src/opt/mfs/mfsDiv.c ./depends.sh gcc `dirname src/opt/mfs/mfsDiv.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsDiv.c > src/opt/mfs/mfsDiv.d ABC: `` Generating dependency: /src/opt/mfs/mfsCore.c ./depends.sh gcc `dirname src/opt/mfs/mfsCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsCore.c > src/opt/mfs/mfsCore.d ABC: `` Generating dependency: /src/opt/rwr/rwrUtil.c ./depends.sh gcc `dirname src/opt/rwr/rwrUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrUtil.c > src/opt/rwr/rwrUtil.d ABC: `` Generating dependency: /src/opt/rwr/rwrPrint.c ./depends.sh gcc `dirname src/opt/rwr/rwrPrint.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrPrint.c > src/opt/rwr/rwrPrint.d ABC: `` Generating dependency: /src/opt/rwr/rwrMan.c ./depends.sh gcc `dirname src/opt/rwr/rwrMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrMan.c > src/opt/rwr/rwrMan.d ABC: `` Generating dependency: /src/opt/rwr/rwrLib.c ./depends.sh gcc `dirname src/opt/rwr/rwrLib.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrLib.c > src/opt/rwr/rwrLib.d ABC: `` Generating dependency: /src/opt/rwr/rwrExp.c ./depends.sh gcc `dirname src/opt/rwr/rwrExp.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrExp.c > src/opt/rwr/rwrExp.d ABC: `` Generating dependency: /src/opt/rwr/rwrEva.c ./depends.sh gcc `dirname src/opt/rwr/rwrEva.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrEva.c > src/opt/rwr/rwrEva.d ABC: `` Generating dependency: /src/opt/rwr/rwrDec.c ./depends.sh gcc `dirname src/opt/rwr/rwrDec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrDec.c > src/opt/rwr/rwrDec.d ABC: `` Generating dependency: /src/opt/fxch/FxchSCHashTable.c ./depends.sh gcc `dirname src/opt/fxch/FxchSCHashTable.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchSCHashTable.c > src/opt/fxch/FxchSCHashTable.d ABC: `` Generating dependency: /src/opt/fxch/FxchMan.c ./depends.sh gcc `dirname src/opt/fxch/FxchMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchMan.c > src/opt/fxch/FxchMan.d ABC: `` Generating dependency: /src/opt/fxch/FxchDiv.c ./depends.sh gcc `dirname src/opt/fxch/FxchDiv.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchDiv.c > src/opt/fxch/FxchDiv.d ABC: `` Generating dependency: /src/opt/fxch/Fxch.c ./depends.sh gcc `dirname src/opt/fxch/Fxch.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/Fxch.c > src/opt/fxch/Fxch.d ABC: `` Generating dependency: /src/opt/fxu/fxuUpdate.c ./depends.sh gcc `dirname src/opt/fxu/fxuUpdate.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuUpdate.c > src/opt/fxu/fxuUpdate.d ABC: `` Generating dependency: /src/opt/fxu/fxuSingle.c ./depends.sh gcc `dirname src/opt/fxu/fxuSingle.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuSingle.c > src/opt/fxu/fxuSingle.d ABC: `` Generating dependency: /src/opt/fxu/fxuSelect.c ./depends.sh gcc `dirname src/opt/fxu/fxuSelect.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuSelect.c > src/opt/fxu/fxuSelect.d ABC: `` Generating dependency: /src/opt/fxu/fxuReduce.c ./depends.sh gcc `dirname src/opt/fxu/fxuReduce.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuReduce.c > src/opt/fxu/fxuReduce.d ABC: `` Generating dependency: /src/opt/fxu/fxuPrint.c ./depends.sh gcc `dirname src/opt/fxu/fxuPrint.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuPrint.c > src/opt/fxu/fxuPrint.d ABC: `` Generating dependency: /src/opt/fxu/fxuPair.c ./depends.sh gcc `dirname src/opt/fxu/fxuPair.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuPair.c > src/opt/fxu/fxuPair.d ABC: `` Generating dependency: /src/opt/fxu/fxuMatrix.c ./depends.sh gcc `dirname src/opt/fxu/fxuMatrix.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuMatrix.c > src/opt/fxu/fxuMatrix.d ABC: `` Generating dependency: /src/opt/fxu/fxuList.c ./depends.sh gcc `dirname src/opt/fxu/fxuList.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuList.c > src/opt/fxu/fxuList.d ABC: `` Generating dependency: /src/opt/fxu/fxuHeapS.c ABC: `` Generating dependency: /src/opt/fxu/fxuHeapD.c ./depends.sh gcc `dirname src/opt/fxu/fxuHeapS.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuHeapS.c > src/opt/fxu/fxuHeapS.d ./depends.sh gcc `dirname src/opt/fxu/fxuHeapD.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuHeapD.c > src/opt/fxu/fxuHeapD.d ABC: `` Generating dependency: /src/opt/fxu/fxuCreate.c ./depends.sh gcc `dirname src/opt/fxu/fxuCreate.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuCreate.c > src/opt/fxu/fxuCreate.d ABC: `` Generating dependency: /src/opt/fxu/fxu.c ./depends.sh gcc `dirname src/opt/fxu/fxu.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxu.c > src/opt/fxu/fxu.d ABC: `` Generating dependency: /src/opt/cut/cutTruth.c ./depends.sh gcc `dirname src/opt/cut/cutTruth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutTruth.c > src/opt/cut/cutTruth.d ABC: `` Generating dependency: /src/opt/cut/cutSeq.c ./depends.sh gcc `dirname src/opt/cut/cutSeq.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutSeq.c > src/opt/cut/cutSeq.d ABC: `` Generating dependency: /src/opt/cut/cutPre22.c ./depends.sh gcc `dirname src/opt/cut/cutPre22.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutPre22.c > src/opt/cut/cutPre22.d ABC: `` Generating dependency: /src/opt/cut/cutOracle.c ./depends.sh gcc `dirname src/opt/cut/cutOracle.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutOracle.c > src/opt/cut/cutOracle.d ABC: `` Generating dependency: /src/opt/cut/cutNode.c ABC: `` Generating dependency: /src/opt/cut/cutMerge.c ./depends.sh gcc `dirname src/opt/cut/cutMerge.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutMerge.c > src/opt/cut/cutMerge.d ./depends.sh gcc `dirname src/opt/cut/cutNode.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutNode.c > src/opt/cut/cutNode.d ABC: `` Generating dependency: /src/opt/cut/cutMan.c ./depends.sh gcc `dirname src/opt/cut/cutMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutMan.c > src/opt/cut/cutMan.d ABC: `` Generating dependency: /src/opt/cut/cutCut.c ./depends.sh gcc `dirname src/opt/cut/cutCut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutCut.c > src/opt/cut/cutCut.d ABC: `` Generating dependency: /src/opt/cut/cutApi.c ./depends.sh gcc `dirname src/opt/cut/cutApi.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutApi.c > src/opt/cut/cutApi.d ABC: `` Generating dependency: /src/misc/parse/parseStack.c ./depends.sh gcc `dirname src/misc/parse/parseStack.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/parse/parseStack.c > src/misc/parse/parseStack.d ABC: `` Generating dependency: /src/misc/parse/parseEqn.c ./depends.sh gcc `dirname src/misc/parse/parseEqn.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/parse/parseEqn.c > src/misc/parse/parseEqn.d ABC: `` Generating dependency: /src/misc/bbl/bblif.c ./depends.sh gcc `dirname src/misc/bbl/bblif.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bbl/bblif.c > src/misc/bbl/bblif.d ABC: `` Generating dependency: /src/misc/bar/bar.c ./depends.sh gcc `dirname src/misc/bar/bar.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bar/bar.c > src/misc/bar/bar.d ABC: `` Generating dependency: /src/misc/mem/mem.c ./depends.sh gcc `dirname src/misc/mem/mem.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mem/mem.c > src/misc/mem/mem.d ABC: `` Generating dependency: /src/misc/tim/timTrav.c ./depends.sh gcc `dirname src/misc/tim/timTrav.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timTrav.c > src/misc/tim/timTrav.d ABC: `` Generating dependency: /src/misc/tim/timTime.c ./depends.sh gcc `dirname src/misc/tim/timTime.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timTime.c > src/misc/tim/timTime.d ABC: `` Generating dependency: /src/misc/tim/timMan.c ABC: `` Generating dependency: /src/misc/tim/timDump.c ./depends.sh gcc `dirname src/misc/tim/timDump.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timDump.c > src/misc/tim/timDump.d ./depends.sh gcc `dirname src/misc/tim/timMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timMan.c > src/misc/tim/timMan.d ABC: `` Generating dependency: /src/misc/tim/timBox.c ./depends.sh gcc `dirname src/misc/tim/timBox.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timBox.c > src/misc/tim/timBox.d ABC: `` Generating dependency: /src/misc/nm/nmApi.c ABC: `` Generating dependency: /src/misc/nm/nmTable.c ./depends.sh gcc `dirname src/misc/nm/nmApi.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/nm/nmApi.c > src/misc/nm/nmApi.d ./depends.sh gcc `dirname src/misc/nm/nmTable.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/nm/nmTable.c > src/misc/nm/nmTable.d ABC: `` Generating dependency: /src/misc/util/utilSort.c ./depends.sh gcc `dirname src/misc/util/utilSort.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilSort.c > src/misc/util/utilSort.d ABC: `` Generating dependency: /src/misc/util/utilSignal.c ./depends.sh gcc `dirname src/misc/util/utilSignal.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilSignal.c > src/misc/util/utilSignal.d ABC: `` Generating dependency: /src/misc/util/utilNam.c ./depends.sh gcc `dirname src/misc/util/utilNam.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilNam.c > src/misc/util/utilNam.d ABC: `` Generating dependency: /src/misc/util/utilIsop.c ./depends.sh gcc `dirname src/misc/util/utilIsop.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilIsop.c > src/misc/util/utilIsop.d ABC: `` Generating dependency: /src/misc/util/utilFile.c ./depends.sh gcc `dirname src/misc/util/utilFile.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilFile.c > src/misc/util/utilFile.d ABC: `` Generating dependency: /src/misc/util/utilColor.c ./depends.sh gcc `dirname src/misc/util/utilColor.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilColor.c > src/misc/util/utilColor.d ABC: `` Generating dependency: /src/misc/util/utilCex.c ./depends.sh gcc `dirname src/misc/util/utilCex.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilCex.c > src/misc/util/utilCex.d ABC: `` Generating dependency: /src/misc/util/utilBridge.c ./depends.sh gcc `dirname src/misc/util/utilBridge.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilBridge.c > src/misc/util/utilBridge.d ABC: `` Generating dependency: /src/misc/st/stmm.c ./depends.sh gcc `dirname src/misc/st/stmm.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/st/stmm.c > src/misc/st/stmm.d ABC: `` Generating dependency: /src/misc/st/st.c ./depends.sh gcc `dirname src/misc/st/st.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/st/st.c > src/misc/st/st.d ABC: `` Generating dependency: /src/misc/mvc/mvcUtils.c ./depends.sh gcc `dirname src/misc/mvc/mvcUtils.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcUtils.c > src/misc/mvc/mvcUtils.d ABC: `` Generating dependency: /src/misc/mvc/mvcSort.c ./depends.sh gcc `dirname src/misc/mvc/mvcSort.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcSort.c > src/misc/mvc/mvcSort.d ABC: `` Generating dependency: /src/misc/mvc/mvcPrint.c ./depends.sh gcc `dirname src/misc/mvc/mvcPrint.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcPrint.c > src/misc/mvc/mvcPrint.d ABC: `` Generating dependency: /src/misc/mvc/mvcOpBool.c ./depends.sh gcc `dirname src/misc/mvc/mvcOpBool.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcOpBool.c > src/misc/mvc/mvcOpBool.d ABC: `` Generating dependency: /src/misc/mvc/mvcOpAlg.c ./depends.sh gcc `dirname src/misc/mvc/mvcOpAlg.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcOpAlg.c > src/misc/mvc/mvcOpAlg.d ABC: `` Generating dependency: /src/misc/mvc/mvcMan.c ./depends.sh gcc `dirname src/misc/mvc/mvcMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcMan.c > src/misc/mvc/mvcMan.d ABC: `` Generating dependency: /src/misc/mvc/mvcLits.c ./depends.sh gcc `dirname src/misc/mvc/mvcLits.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcLits.c > src/misc/mvc/mvcLits.d ABC: `` Generating dependency: /src/misc/mvc/mvcList.c ./depends.sh gcc `dirname src/misc/mvc/mvcList.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcList.c > src/misc/mvc/mvcList.d ABC: `` Generating dependency: /src/misc/mvc/mvcDivisor.c ./depends.sh gcc `dirname src/misc/mvc/mvcDivisor.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcDivisor.c > src/misc/mvc/mvcDivisor.d ABC: `` Generating dependency: /src/misc/mvc/mvcDivide.c ./depends.sh gcc `dirname src/misc/mvc/mvcDivide.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcDivide.c > src/misc/mvc/mvcDivide.d ABC: `` Generating dependency: /src/misc/mvc/mvcCube.c ./depends.sh gcc `dirname src/misc/mvc/mvcCube.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCube.c > src/misc/mvc/mvcCube.d ABC: `` Generating dependency: /src/misc/mvc/mvcCover.c ./depends.sh gcc `dirname src/misc/mvc/mvcCover.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCover.c > src/misc/mvc/mvcCover.d ABC: `` Generating dependency: /src/misc/mvc/mvcContain.c ./depends.sh gcc `dirname src/misc/mvc/mvcContain.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcContain.c > src/misc/mvc/mvcContain.d ABC: `` Generating dependency: /src/misc/mvc/mvcCompare.c ./depends.sh gcc `dirname src/misc/mvc/mvcCompare.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCompare.c > src/misc/mvc/mvcCompare.d ABC: `` Generating dependency: /src/misc/mvc/mvcApi.c ./depends.sh gcc `dirname src/misc/mvc/mvcApi.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcApi.c > src/misc/mvc/mvcApi.d ABC: `` Generating dependency: /src/misc/extra/extraUtilUtil.c ./depends.sh gcc `dirname src/misc/extra/extraUtilUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilUtil.c > src/misc/extra/extraUtilUtil.d ABC: `` Generating dependency: /src/misc/extra/extraUtilTruth.c ./depends.sh gcc `dirname src/misc/extra/extraUtilTruth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilTruth.c > src/misc/extra/extraUtilTruth.d ABC: `` Generating dependency: /src/misc/extra/extraUtilSupp.c ./depends.sh gcc `dirname src/misc/extra/extraUtilSupp.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilSupp.c > src/misc/extra/extraUtilSupp.d ABC: `` Generating dependency: /src/misc/extra/extraUtilReader.c ./depends.sh gcc `dirname src/misc/extra/extraUtilReader.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilReader.c > src/misc/extra/extraUtilReader.d ABC: `` Generating dependency: /src/misc/extra/extraUtilProgress.c ./depends.sh gcc `dirname src/misc/extra/extraUtilProgress.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilProgress.c > src/misc/extra/extraUtilProgress.d ABC: `` Generating dependency: /src/misc/extra/extraUtilPerm.c ./depends.sh gcc `dirname src/misc/extra/extraUtilPerm.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilPerm.c > src/misc/extra/extraUtilPerm.d ABC: `` Generating dependency: /src/misc/extra/extraUtilPath.c ./depends.sh gcc `dirname src/misc/extra/extraUtilPath.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilPath.c > src/misc/extra/extraUtilPath.d ABC: `` Generating dependency: /src/misc/extra/extraUtilMult.c ./depends.sh gcc `dirname src/misc/extra/extraUtilMult.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMult.c > src/misc/extra/extraUtilMult.d ABC: `` Generating dependency: /src/misc/extra/extraUtilMisc.c ./depends.sh gcc `dirname src/misc/extra/extraUtilMisc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMisc.c > src/misc/extra/extraUtilMisc.d ABC: `` Generating dependency: /src/misc/extra/extraUtilMemory.c ./depends.sh gcc `dirname src/misc/extra/extraUtilMemory.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMemory.c > src/misc/extra/extraUtilMemory.d ABC: `` Generating dependency: /src/misc/extra/extraUtilMaj.c ./depends.sh gcc `dirname src/misc/extra/extraUtilMaj.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMaj.c > src/misc/extra/extraUtilMaj.d ABC: `` Generating dependency: /src/misc/extra/extraUtilMacc.c ./depends.sh gcc `dirname src/misc/extra/extraUtilMacc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMacc.c > src/misc/extra/extraUtilMacc.d ABC: `` Generating dependency: /src/misc/extra/extraUtilGen.c ./depends.sh gcc `dirname src/misc/extra/extraUtilGen.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilGen.c > src/misc/extra/extraUtilGen.d ABC: `` Generating dependency: /src/misc/extra/extraUtilFile.c ./depends.sh gcc `dirname src/misc/extra/extraUtilFile.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilFile.c > src/misc/extra/extraUtilFile.d ABC: `` Generating dependency: /src/misc/extra/extraUtilEnum.c ./depends.sh gcc `dirname src/misc/extra/extraUtilEnum.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilEnum.c > src/misc/extra/extraUtilEnum.d ABC: `` Generating dependency: /src/misc/extra/extraUtilDsd.c ./depends.sh gcc `dirname src/misc/extra/extraUtilDsd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilDsd.c > src/misc/extra/extraUtilDsd.d ABC: `` Generating dependency: /src/misc/extra/extraUtilCube.c ./depends.sh gcc `dirname src/misc/extra/extraUtilCube.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCube.c > src/misc/extra/extraUtilCube.d ABC: `` Generating dependency: /src/misc/extra/extraUtilCfs.c ./depends.sh gcc `dirname src/misc/extra/extraUtilCfs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCfs.c > src/misc/extra/extraUtilCfs.d ABC: `` Generating dependency: /src/misc/extra/extraUtilCanon.c ./depends.sh gcc `dirname src/misc/extra/extraUtilCanon.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCanon.c > src/misc/extra/extraUtilCanon.d ABC: `` Generating dependency: /src/misc/extra/extraUtilBitMatrix.c ./depends.sh gcc `dirname src/misc/extra/extraUtilBitMatrix.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilBitMatrix.c > src/misc/extra/extraUtilBitMatrix.d ABC: `` Generating dependency: /src/map/mpm/mpmUtil.c ./depends.sh gcc `dirname src/map/mpm/mpmUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmUtil.c > src/map/mpm/mpmUtil.d ABC: `` Generating dependency: /src/map/mpm/mpmTruth.c ./depends.sh gcc `dirname src/map/mpm/mpmTruth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmTruth.c > src/map/mpm/mpmTruth.d ABC: `` Generating dependency: /src/map/mpm/mpmPre.c ./depends.sh gcc `dirname src/map/mpm/mpmPre.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmPre.c > src/map/mpm/mpmPre.d ABC: `` Generating dependency: /src/map/mpm/mpmMig.c ./depends.sh gcc `dirname src/map/mpm/mpmMig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmMig.c > src/map/mpm/mpmMig.d ABC: `` Generating dependency: /src/map/mpm/mpmMap.c ./depends.sh gcc `dirname src/map/mpm/mpmMap.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmMap.c > src/map/mpm/mpmMap.d ABC: `` Generating dependency: /src/map/mpm/mpmMan.c ABC: `` Generating dependency: /src/map/mpm/mpmLib.c ./depends.sh gcc `dirname src/map/mpm/mpmMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmMan.c > src/map/mpm/mpmMan.d ./depends.sh gcc `dirname src/map/mpm/mpmLib.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmLib.c > src/map/mpm/mpmLib.d ABC: `` Generating dependency: /src/map/mpm/mpmGates.c ./depends.sh gcc `dirname src/map/mpm/mpmGates.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmGates.c > src/map/mpm/mpmGates.d ABC: `` Generating dependency: /src/map/mpm/mpmDsd.c ./depends.sh gcc `dirname src/map/mpm/mpmDsd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmDsd.c > src/map/mpm/mpmDsd.d ABC: `` Generating dependency: /src/map/mpm/mpmCore.c ./depends.sh gcc `dirname src/map/mpm/mpmCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmCore.c > src/map/mpm/mpmCore.d ABC: `` Generating dependency: /src/map/mpm/mpmAbc.c ./depends.sh gcc `dirname src/map/mpm/mpmAbc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmAbc.c > src/map/mpm/mpmAbc.d ABC: `` Generating dependency: /src/map/scl/sclUtil.c ./depends.sh gcc `dirname src/map/scl/sclUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclUtil.c > src/map/scl/sclUtil.d ABC: `` Generating dependency: /src/map/scl/sclUpsize.c ./depends.sh gcc `dirname src/map/scl/sclUpsize.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclUpsize.c > src/map/scl/sclUpsize.d ABC: `` Generating dependency: /src/map/scl/sclSize.c ./depends.sh gcc `dirname src/map/scl/sclSize.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclSize.c > src/map/scl/sclSize.d ABC: `` Generating dependency: /src/map/scl/sclLoad.c ./depends.sh gcc `dirname src/map/scl/sclLoad.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLoad.c > src/map/scl/sclLoad.d ABC: `` Generating dependency: /src/map/scl/sclLibUtil.c ABC: `` Generating dependency: /src/map/scl/sclLibScl.c ./depends.sh gcc `dirname src/map/scl/sclLibUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLibUtil.c > src/map/scl/sclLibUtil.d ./depends.sh gcc `dirname src/map/scl/sclLibScl.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLibScl.c > src/map/scl/sclLibScl.d ABC: `` Generating dependency: /src/map/scl/sclLiberty.c ./depends.sh gcc `dirname src/map/scl/sclLiberty.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLiberty.c > src/map/scl/sclLiberty.d ABC: `` Generating dependency: /src/map/scl/sclDnsize.c ./depends.sh gcc `dirname src/map/scl/sclDnsize.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclDnsize.c > src/map/scl/sclDnsize.d ABC: `` Generating dependency: /src/map/scl/sclBufSize.c ./depends.sh gcc `dirname src/map/scl/sclBufSize.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclBufSize.c > src/map/scl/sclBufSize.d ABC: `` Generating dependency: /src/map/scl/sclBuffer.c ./depends.sh gcc `dirname src/map/scl/sclBuffer.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclBuffer.c > src/map/scl/sclBuffer.d ABC: `` Generating dependency: /src/map/scl/scl.c ./depends.sh gcc `dirname src/map/scl/scl.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/scl.c > src/map/scl/scl.d ABC: `` Generating dependency: /src/map/cov/covMinUtil.c ./depends.sh gcc `dirname src/map/cov/covMinUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinUtil.c > src/map/cov/covMinUtil.d ABC: `` Generating dependency: /src/map/cov/covMinSop.c ./depends.sh gcc `dirname src/map/cov/covMinSop.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinSop.c > src/map/cov/covMinSop.d ABC: `` Generating dependency: /src/map/cov/covMinMan.c ./depends.sh gcc `dirname src/map/cov/covMinMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinMan.c > src/map/cov/covMinMan.d ABC: `` Generating dependency: /src/map/cov/covMinEsop.c ./depends.sh gcc `dirname src/map/cov/covMinEsop.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinEsop.c > src/map/cov/covMinEsop.d ABC: `` Generating dependency: /src/map/cov/covMan.c ./depends.sh gcc `dirname src/map/cov/covMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMan.c > src/map/cov/covMan.d ABC: `` Generating dependency: /src/map/cov/covCore.c ./depends.sh gcc `dirname src/map/cov/covCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covCore.c > src/map/cov/covCore.d ABC: `` Generating dependency: /src/map/cov/covBuild.c ./depends.sh gcc `dirname src/map/cov/covBuild.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covBuild.c > src/map/cov/covBuild.d ABC: `` Generating dependency: /src/map/amap/amapUniq.c ./depends.sh gcc `dirname src/map/amap/amapUniq.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapUniq.c > src/map/amap/amapUniq.d ABC: `` Generating dependency: /src/map/amap/amapRule.c ./depends.sh gcc `dirname src/map/amap/amapRule.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapRule.c > src/map/amap/amapRule.d ABC: `` Generating dependency: /src/map/amap/amapRead.c ./depends.sh gcc `dirname src/map/amap/amapRead.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapRead.c > src/map/amap/amapRead.d ABC: `` Generating dependency: /src/map/amap/amapPerm.c ./depends.sh gcc `dirname src/map/amap/amapPerm.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapPerm.c > src/map/amap/amapPerm.d ABC: `` Generating dependency: /src/map/amap/amapParse.c ./depends.sh gcc `dirname src/map/amap/amapParse.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapParse.c > src/map/amap/amapParse.d ABC: `` Generating dependency: /src/map/amap/amapOutput.c ABC: `` Generating dependency: /src/map/amap/amapMerge.c ./depends.sh gcc `dirname src/map/amap/amapMerge.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMerge.c > src/map/amap/amapMerge.d ./depends.sh gcc `dirname src/map/amap/amapOutput.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapOutput.c > src/map/amap/amapOutput.d ABC: `` Generating dependency: /src/map/amap/amapMatch.c ./depends.sh gcc `dirname src/map/amap/amapMatch.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMatch.c > src/map/amap/amapMatch.d ABC: `` Generating dependency: /src/map/amap/amapMan.c ./depends.sh gcc `dirname src/map/amap/amapMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMan.c > src/map/amap/amapMan.d ABC: `` Generating dependency: /src/map/amap/amapLiberty.c ./depends.sh gcc `dirname src/map/amap/amapLiberty.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapLiberty.c > src/map/amap/amapLiberty.d ABC: `` Generating dependency: /src/map/amap/amapLib.c ./depends.sh gcc `dirname src/map/amap/amapLib.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapLib.c > src/map/amap/amapLib.d ABC: `` Generating dependency: /src/map/amap/amapGraph.c ./depends.sh gcc `dirname src/map/amap/amapGraph.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapGraph.c > src/map/amap/amapGraph.d ABC: `` Generating dependency: /src/map/amap/amapCore.c ./depends.sh gcc `dirname src/map/amap/amapCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapCore.c > src/map/amap/amapCore.d ABC: `` Generating dependency: /src/map/if/ifUtil.c ./depends.sh gcc `dirname src/map/if/ifUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifUtil.c > src/map/if/ifUtil.d ABC: `` Generating dependency: /src/map/if/ifTune.c ./depends.sh gcc `dirname src/map/if/ifTune.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTune.c > src/map/if/ifTune.d ABC: `` Generating dependency: /src/map/if/ifTruth.c ./depends.sh gcc `dirname src/map/if/ifTruth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTruth.c > src/map/if/ifTruth.d ABC: `` Generating dependency: /src/map/if/ifTime.c ./depends.sh gcc `dirname src/map/if/ifTime.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTime.c > src/map/if/ifTime.d ABC: `` Generating dependency: /src/map/if/ifTest.c ./depends.sh gcc `dirname src/map/if/ifTest.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTest.c > src/map/if/ifTest.d ABC: `` Generating dependency: /src/map/if/ifSeq.c ./depends.sh gcc `dirname src/map/if/ifSeq.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifSeq.c > src/map/if/ifSeq.d ABC: `` Generating dependency: /src/map/if/ifSelect.c ./depends.sh gcc `dirname src/map/if/ifSelect.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifSelect.c > src/map/if/ifSelect.d ABC: `` Generating dependency: /src/map/if/ifSat.c ./depends.sh gcc `dirname src/map/if/ifSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifSat.c > src/map/if/ifSat.d ABC: `` Generating dependency: /src/map/if/ifReduce.c ./depends.sh gcc `dirname src/map/if/ifReduce.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifReduce.c > src/map/if/ifReduce.d ABC: `` Generating dependency: /src/map/if/ifMatch2.c ./depends.sh gcc `dirname src/map/if/ifMatch2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMatch2.c > src/map/if/ifMatch2.d ABC: `` Generating dependency: /src/map/if/ifMap.c ./depends.sh gcc `dirname src/map/if/ifMap.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMap.c > src/map/if/ifMap.d ABC: `` Generating dependency: /src/map/if/ifMan.c ./depends.sh gcc `dirname src/map/if/ifMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMan.c > src/map/if/ifMan.d ABC: `` Generating dependency: /src/map/if/ifLibLut.c ./depends.sh gcc `dirname src/map/if/ifLibLut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifLibLut.c > src/map/if/ifLibLut.d ABC: `` Generating dependency: /src/map/if/ifLibBox.c ./depends.sh gcc `dirname src/map/if/ifLibBox.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifLibBox.c > src/map/if/ifLibBox.d ABC: `` Generating dependency: /src/map/if/ifDsd.c ./depends.sh gcc `dirname src/map/if/ifDsd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDsd.c > src/map/if/ifDsd.d ABC: `` Generating dependency: /src/map/if/ifDelay.c ./depends.sh gcc `dirname src/map/if/ifDelay.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDelay.c > src/map/if/ifDelay.d ABC: `` Generating dependency: /src/map/if/ifDec75.c ./depends.sh gcc `dirname src/map/if/ifDec75.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec75.c > src/map/if/ifDec75.d ABC: `` Generating dependency: /src/map/if/ifDec10.c ABC: `` Generating dependency: /src/map/if/ifDec16.c ./depends.sh gcc `dirname src/map/if/ifDec10.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec10.c > src/map/if/ifDec10.d ./depends.sh gcc `dirname src/map/if/ifDec16.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec16.c > src/map/if/ifDec16.d ABC: `` Generating dependency: /src/map/if/ifDec08.c ./depends.sh gcc `dirname src/map/if/ifDec08.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec08.c > src/map/if/ifDec08.d ABC: `` Generating dependency: /src/map/if/ifDec07.c ./depends.sh gcc `dirname src/map/if/ifDec07.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec07.c > src/map/if/ifDec07.d ABC: `` Generating dependency: /src/map/if/ifData2.c ABC: `` Generating dependency: /src/map/if/ifCut.c ./depends.sh gcc `dirname src/map/if/ifData2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifData2.c > src/map/if/ifData2.d ./depends.sh gcc `dirname src/map/if/ifCut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCut.c > src/map/if/ifCut.d ABC: `` Generating dependency: /src/map/if/ifCore.c ./depends.sh gcc `dirname src/map/if/ifCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCore.c > src/map/if/ifCore.d ABC: `` Generating dependency: /src/map/if/ifCache.c ./depends.sh gcc `dirname src/map/if/ifCache.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCache.c > src/map/if/ifCache.d ABC: `` Generating dependency: /src/map/if/ifCom.c ./depends.sh gcc `dirname src/map/if/ifCom.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCom.c > src/map/if/ifCom.d ABC: `` Generating dependency: /src/map/super/superGate.c ./depends.sh gcc `dirname src/map/super/superGate.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/superGate.c > src/map/super/superGate.d ABC: `` Generating dependency: /src/map/super/superAnd.c ./depends.sh gcc `dirname src/map/super/superAnd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/superAnd.c > src/map/super/superAnd.d ABC: `` Generating dependency: /src/map/super/super.c ABC: `` Generating dependency: /src/map/mio/mioUtils.c ./depends.sh gcc `dirname src/map/super/super.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/super.c > src/map/super/super.d ./depends.sh gcc `dirname src/map/mio/mioUtils.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioUtils.c > src/map/mio/mioUtils.d ABC: `` Generating dependency: /src/map/mio/mioSop.c ./depends.sh gcc `dirname src/map/mio/mioSop.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioSop.c > src/map/mio/mioSop.d ABC: `` Generating dependency: /src/map/mio/mioRead.c ./depends.sh gcc `dirname src/map/mio/mioRead.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioRead.c > src/map/mio/mioRead.d ABC: `` Generating dependency: /src/map/mio/mioParse.c ./depends.sh gcc `dirname src/map/mio/mioParse.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioParse.c > src/map/mio/mioParse.d ABC: `` Generating dependency: /src/map/mio/mioFunc.c ./depends.sh gcc `dirname src/map/mio/mioFunc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioFunc.c > src/map/mio/mioFunc.d ABC: `` Generating dependency: /src/map/mio/mioApi.c ./depends.sh gcc `dirname src/map/mio/mioApi.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioApi.c > src/map/mio/mioApi.d ABC: `` Generating dependency: /src/map/mio/mio.c ./depends.sh gcc `dirname src/map/mio/mio.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mio.c > src/map/mio/mio.d ABC: `` Generating dependency: /src/map/mapper/mapperVec.c ./depends.sh gcc `dirname src/map/mapper/mapperVec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperVec.c > src/map/mapper/mapperVec.d ABC: `` Generating dependency: /src/map/mapper/mapperUtils.c ./depends.sh gcc `dirname src/map/mapper/mapperUtils.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperUtils.c > src/map/mapper/mapperUtils.d ABC: `` Generating dependency: /src/map/mapper/mapperTruth.c ./depends.sh gcc `dirname src/map/mapper/mapperTruth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTruth.c > src/map/mapper/mapperTruth.d ABC: `` Generating dependency: /src/map/mapper/mapperTree.c ./depends.sh gcc `dirname src/map/mapper/mapperTree.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTree.c > src/map/mapper/mapperTree.d ABC: `` Generating dependency: /src/map/mapper/mapperTime.c ./depends.sh gcc `dirname src/map/mapper/mapperTime.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTime.c > src/map/mapper/mapperTime.d ABC: `` Generating dependency: /src/map/mapper/mapperTable.c ./depends.sh gcc `dirname src/map/mapper/mapperTable.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTable.c > src/map/mapper/mapperTable.d ABC: `` Generating dependency: /src/map/mapper/mapperSwitch.c ./depends.sh gcc `dirname src/map/mapper/mapperSwitch.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperSwitch.c > src/map/mapper/mapperSwitch.d ABC: `` Generating dependency: /src/map/mapper/mapperSuper.c ./depends.sh gcc `dirname src/map/mapper/mapperSuper.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperSuper.c > src/map/mapper/mapperSuper.d ABC: `` Generating dependency: /src/map/mapper/mapperRefs.c ./depends.sh gcc `dirname src/map/mapper/mapperRefs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperRefs.c > src/map/mapper/mapperRefs.d ABC: `` Generating dependency: /src/map/mapper/mapperMatch.c ./depends.sh gcc `dirname src/map/mapper/mapperMatch.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperMatch.c > src/map/mapper/mapperMatch.d ABC: `` Generating dependency: /src/map/mapper/mapperLib.c ./depends.sh gcc `dirname src/map/mapper/mapperLib.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperLib.c > src/map/mapper/mapperLib.d ABC: `` Generating dependency: /src/map/mapper/mapperCutUtils.c ./depends.sh gcc `dirname src/map/mapper/mapperCutUtils.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCutUtils.c > src/map/mapper/mapperCutUtils.d ABC: `` Generating dependency: /src/map/mapper/mapperCut.c ./depends.sh gcc `dirname src/map/mapper/mapperCut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCut.c > src/map/mapper/mapperCut.d ABC: `` Generating dependency: /src/map/mapper/mapperCreate.c ./depends.sh gcc `dirname src/map/mapper/mapperCreate.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCreate.c > src/map/mapper/mapperCreate.d ABC: `` Generating dependency: /src/map/mapper/mapperCore.c ./depends.sh gcc `dirname src/map/mapper/mapperCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCore.c > src/map/mapper/mapperCore.d ABC: `` Generating dependency: /src/map/mapper/mapperCanon.c ./depends.sh gcc `dirname src/map/mapper/mapperCanon.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCanon.c > src/map/mapper/mapperCanon.d ABC: `` Generating dependency: /src/map/mapper/mapper.c ./depends.sh gcc `dirname src/map/mapper/mapper.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapper.c > src/map/mapper/mapper.d ABC: `` Generating dependency: /src/base/test/test.c ./depends.sh gcc `dirname src/base/test/test.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/test/test.c > src/base/test/test.d ABC: `` Generating dependency: /src/base/pla/plaWrite.c ./depends.sh gcc `dirname src/base/pla/plaWrite.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaWrite.c > src/base/pla/plaWrite.d ABC: `` Generating dependency: /src/base/pla/plaRead.c ./depends.sh gcc `dirname src/base/pla/plaRead.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaRead.c > src/base/pla/plaRead.d ABC: `` Generating dependency: /src/base/pla/plaSimple.c ./depends.sh gcc `dirname src/base/pla/plaSimple.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaSimple.c > src/base/pla/plaSimple.d ABC: `` Generating dependency: /src/base/pla/plaMerge.c ./depends.sh gcc `dirname src/base/pla/plaMerge.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaMerge.c > src/base/pla/plaMerge.d ABC: `` Generating dependency: /src/base/pla/plaMan.c ./depends.sh gcc `dirname src/base/pla/plaMan.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaMan.c > src/base/pla/plaMan.d ABC: `` Generating dependency: /src/base/pla/plaHash.c ./depends.sh gcc `dirname src/base/pla/plaHash.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaHash.c > src/base/pla/plaHash.d ABC: `` Generating dependency: /src/base/pla/plaCom.c ./depends.sh gcc `dirname src/base/pla/plaCom.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaCom.c > src/base/pla/plaCom.d ABC: `` Generating dependency: /src/base/cba/cbaWriteVer.c ./depends.sh gcc `dirname src/base/cba/cbaWriteVer.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaWriteVer.c > src/base/cba/cbaWriteVer.d ABC: `` Generating dependency: /src/base/cba/cbaWriteBlif.c ./depends.sh gcc `dirname src/base/cba/cbaWriteBlif.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaWriteBlif.c > src/base/cba/cbaWriteBlif.d ABC: `` Generating dependency: /src/base/cba/cbaReadVer.c ./depends.sh gcc `dirname src/base/cba/cbaReadVer.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaReadVer.c > src/base/cba/cbaReadVer.d ABC: `` Generating dependency: /src/base/cba/cbaReadBlif.c ./depends.sh gcc `dirname src/base/cba/cbaReadBlif.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaReadBlif.c > src/base/cba/cbaReadBlif.d ABC: `` Generating dependency: /src/base/cba/cbaNtk.c ./depends.sh gcc `dirname src/base/cba/cbaNtk.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaNtk.c > src/base/cba/cbaNtk.d ABC: `` Generating dependency: /src/base/cba/cbaCom.c ./depends.sh gcc `dirname src/base/cba/cbaCom.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaCom.c > src/base/cba/cbaCom.d ABC: `` Generating dependency: /src/base/cba/cbaCba.c ./depends.sh gcc `dirname src/base/cba/cbaCba.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaCba.c > src/base/cba/cbaCba.d ABC: `` Generating dependency: /src/base/cba/cbaBlast.c ./depends.sh gcc `dirname src/base/cba/cbaBlast.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaBlast.c > src/base/cba/cbaBlast.d ABC: `` Generating dependency: /src/base/bac/bacWriteVer.c ./depends.sh gcc `dirname src/base/bac/bacWriteVer.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteVer.c > src/base/bac/bacWriteVer.d ABC: `` Generating dependency: /src/base/bac/bacWriteSmt.c ./depends.sh gcc `dirname src/base/bac/bacWriteSmt.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteSmt.c > src/base/bac/bacWriteSmt.d ABC: `` Generating dependency: /src/base/bac/bacWriteBlif.c ./depends.sh gcc `dirname src/base/bac/bacWriteBlif.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteBlif.c > src/base/bac/bacWriteBlif.d ABC: `` Generating dependency: /src/base/bac/bacReadVer.c ./depends.sh gcc `dirname src/base/bac/bacReadVer.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadVer.c > src/base/bac/bacReadVer.d ABC: `` Generating dependency: /src/base/bac/bacReadSmt.c ./depends.sh gcc `dirname src/base/bac/bacReadSmt.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadSmt.c > src/base/bac/bacReadSmt.d ABC: `` Generating dependency: /src/base/bac/bacReadBlif.c ./depends.sh gcc `dirname src/base/bac/bacReadBlif.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadBlif.c > src/base/bac/bacReadBlif.d ABC: `` Generating dependency: /src/base/bac/bacPtrAbc.c ABC: `` Generating dependency: /src/base/bac/bacPtr.c ./depends.sh gcc `dirname src/base/bac/bacPtrAbc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPtrAbc.c > src/base/bac/bacPtrAbc.d ./depends.sh gcc `dirname src/base/bac/bacPtr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPtr.c > src/base/bac/bacPtr.d ABC: `` Generating dependency: /src/base/bac/bacPrsTrans.c ./depends.sh gcc `dirname src/base/bac/bacPrsTrans.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPrsTrans.c > src/base/bac/bacPrsTrans.d ABC: `` Generating dependency: /src/base/bac/bacPrsBuild.c ./depends.sh gcc `dirname src/base/bac/bacPrsBuild.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPrsBuild.c > src/base/bac/bacPrsBuild.d ABC: `` Generating dependency: /src/base/bac/bacNtk.c ./depends.sh gcc `dirname src/base/bac/bacNtk.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacNtk.c > src/base/bac/bacNtk.d ABC: `` Generating dependency: /src/base/bac/bacLib.c ./depends.sh gcc `dirname src/base/bac/bacLib.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacLib.c > src/base/bac/bacLib.d ABC: `` Generating dependency: /src/base/bac/bacCom.c ./depends.sh gcc `dirname src/base/bac/bacCom.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacCom.c > src/base/bac/bacCom.d ABC: `` Generating dependency: /src/base/bac/bacBac.c ./depends.sh gcc `dirname src/base/bac/bacBac.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacBac.c > src/base/bac/bacBac.d ABC: `` Generating dependency: /src/base/bac/bacBlast.c ./depends.sh gcc `dirname src/base/bac/bacBlast.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacBlast.c > src/base/bac/bacBlast.d ABC: `` Generating dependency: /src/base/acb/acbUtil.c ./depends.sh gcc `dirname src/base/acb/acbUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbUtil.c > src/base/acb/acbUtil.d ABC: `` Generating dependency: /src/base/acb/acbSets.c ./depends.sh gcc `dirname src/base/acb/acbSets.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbSets.c > src/base/acb/acbSets.d ABC: `` Generating dependency: /src/base/acb/acbPush.c ./depends.sh gcc `dirname src/base/acb/acbPush.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbPush.c > src/base/acb/acbPush.d ABC: `` Generating dependency: /src/base/acb/acbMfs.c ./depends.sh gcc `dirname src/base/acb/acbMfs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbMfs.c > src/base/acb/acbMfs.d ABC: `` Generating dependency: /src/base/acb/acbFunc.c ./depends.sh gcc `dirname src/base/acb/acbFunc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbFunc.c > src/base/acb/acbFunc.d ABC: `` Generating dependency: /src/base/acb/acbCom.c ./depends.sh gcc `dirname src/base/acb/acbCom.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbCom.c > src/base/acb/acbCom.d ABC: `` Generating dependency: /src/base/acb/acbAig.c ./depends.sh gcc `dirname src/base/acb/acbAig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbAig.c > src/base/acb/acbAig.d ABC: `` Generating dependency: /src/base/acb/acbAbc.c ./depends.sh gcc `dirname src/base/acb/acbAbc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbAbc.c > src/base/acb/acbAbc.d ABC: `` Generating dependency: /src/base/wln/wlnWriteVer.c ./depends.sh gcc `dirname src/base/wln/wlnWriteVer.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnWriteVer.c > src/base/wln/wlnWriteVer.d ABC: `` Generating dependency: /src/base/wln/wlnWlc.c ./depends.sh gcc `dirname src/base/wln/wlnWlc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnWlc.c > src/base/wln/wlnWlc.d ABC: `` Generating dependency: /src/base/wln/wlnRetime.c ./depends.sh gcc `dirname src/base/wln/wlnRetime.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnRetime.c > src/base/wln/wlnRetime.d ABC: `` Generating dependency: /src/base/wln/wlnObj.c ./depends.sh gcc `dirname src/base/wln/wlnObj.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnObj.c > src/base/wln/wlnObj.d ABC: `` Generating dependency: /src/base/wln/wlnNtk.c ./depends.sh gcc `dirname src/base/wln/wlnNtk.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnNtk.c > src/base/wln/wlnNtk.d ABC: `` Generating dependency: /src/base/wln/wlnNdr.c ./depends.sh gcc `dirname src/base/wln/wlnNdr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnNdr.c > src/base/wln/wlnNdr.d ABC: `` Generating dependency: /src/base/wln/wlnMem.c ./depends.sh gcc `dirname src/base/wln/wlnMem.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnMem.c > src/base/wln/wlnMem.d ABC: `` Generating dependency: /src/base/wln/wln.c ./depends.sh gcc `dirname src/base/wln/wln.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wln.c > src/base/wln/wln.d ABC: `` Generating dependency: /src/base/wlc/wlcWriteVer.c ./depends.sh gcc `dirname src/base/wlc/wlcWriteVer.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcWriteVer.c > src/base/wlc/wlcWriteVer.d ABC: `` Generating dependency: /src/base/wlc/wlcWin.c ./depends.sh gcc `dirname src/base/wlc/wlcWin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcWin.c > src/base/wlc/wlcWin.d ABC: `` Generating dependency: /src/base/wlc/wlcUif.c ./depends.sh gcc `dirname src/base/wlc/wlcUif.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcUif.c > src/base/wlc/wlcUif.d ABC: `` Generating dependency: /src/base/wlc/wlcStdin.c ./depends.sh gcc `dirname src/base/wlc/wlcStdin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcStdin.c > src/base/wlc/wlcStdin.d ABC: `` Generating dependency: /src/base/wlc/wlcShow.c ./depends.sh gcc `dirname src/base/wlc/wlcShow.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcShow.c > src/base/wlc/wlcShow.d ABC: `` Generating dependency: /src/base/wlc/wlcSim.c ./depends.sh gcc `dirname src/base/wlc/wlcSim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcSim.c > src/base/wlc/wlcSim.d ABC: `` Generating dependency: /src/base/wlc/wlcReadVer.c ./depends.sh gcc `dirname src/base/wlc/wlcReadVer.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcReadVer.c > src/base/wlc/wlcReadVer.d ABC: `` Generating dependency: /src/base/wlc/wlcReadSmt.c ./depends.sh gcc `dirname src/base/wlc/wlcReadSmt.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcReadSmt.c > src/base/wlc/wlcReadSmt.d ABC: `` Generating dependency: /src/base/wlc/wlcNtk.c ./depends.sh gcc `dirname src/base/wlc/wlcNtk.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcNtk.c > src/base/wlc/wlcNtk.d ABC: `` Generating dependency: /src/base/wlc/wlcNdr.c ./depends.sh gcc `dirname src/base/wlc/wlcNdr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcNdr.c > src/base/wlc/wlcNdr.d ABC: `` Generating dependency: /src/base/wlc/wlcMem.c ./depends.sh gcc `dirname src/base/wlc/wlcMem.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcMem.c > src/base/wlc/wlcMem.d ABC: `` Generating dependency: /src/base/wlc/wlcJson.c ./depends.sh gcc `dirname src/base/wlc/wlcJson.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcJson.c > src/base/wlc/wlcJson.d ABC: `` Generating dependency: /src/base/wlc/wlcGraft.c ./depends.sh gcc `dirname src/base/wlc/wlcGraft.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcGraft.c > src/base/wlc/wlcGraft.d ABC: `` Generating dependency: /src/base/wlc/wlcCom.c ./depends.sh gcc `dirname src/base/wlc/wlcCom.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcCom.c > src/base/wlc/wlcCom.d ABC: `` Generating dependency: /src/base/wlc/wlcBlast.c ABC: `` Generating dependency: /src/base/wlc/wlcPth.c ./depends.sh gcc `dirname src/base/wlc/wlcBlast.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcBlast.c > src/base/wlc/wlcBlast.d ./depends.sh gcc `dirname src/base/wlc/wlcPth.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcPth.c > src/base/wlc/wlcPth.d ABC: `` Generating dependency: /src/base/wlc/wlcAbc.c ./depends.sh gcc `dirname src/base/wlc/wlcAbc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbc.c > src/base/wlc/wlcAbc.d ABC: `` Generating dependency: /src/base/wlc/wlcAbs2.c ./depends.sh gcc `dirname src/base/wlc/wlcAbs2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbs2.c > src/base/wlc/wlcAbs2.d ABC: `` Generating dependency: /src/base/wlc/wlcAbs.c ./depends.sh gcc `dirname src/base/wlc/wlcAbs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbs.c > src/base/wlc/wlcAbs.d ABC: `` Generating dependency: /src/base/ver/verStream.c ./depends.sh gcc `dirname src/base/ver/verStream.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verStream.c > src/base/ver/verStream.d ABC: `` Generating dependency: /src/base/ver/verParse.c ./depends.sh gcc `dirname src/base/ver/verParse.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verParse.c > src/base/ver/verParse.d ABC: `` Generating dependency: /src/base/ver/verFormula.c ./depends.sh gcc `dirname src/base/ver/verFormula.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verFormula.c > src/base/ver/verFormula.d ABC: `` Generating dependency: /src/base/ver/verCore.c ./depends.sh gcc `dirname src/base/ver/verCore.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verCore.c > src/base/ver/verCore.d ABC: `` Generating dependency: /src/base/exor/exorUtil.c ./depends.sh gcc `dirname src/base/exor/exorUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorUtil.c > src/base/exor/exorUtil.d ABC: `` Generating dependency: /src/base/exor/exorList.c ./depends.sh gcc `dirname src/base/exor/exorList.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorList.c > src/base/exor/exorList.d ABC: `` Generating dependency: /src/base/exor/exorLink.c ./depends.sh gcc `dirname src/base/exor/exorLink.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorLink.c > src/base/exor/exorLink.d ABC: `` Generating dependency: /src/base/exor/exorCubes.c ./depends.sh gcc `dirname src/base/exor/exorCubes.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorCubes.c > src/base/exor/exorCubes.d ABC: `` Generating dependency: /src/base/exor/exorBits.c ./depends.sh gcc `dirname src/base/exor/exorBits.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorBits.c > src/base/exor/exorBits.d ABC: `` Generating dependency: /src/base/exor/exor.c ./depends.sh gcc `dirname src/base/exor/exor.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exor.c > src/base/exor/exor.d ABC: `` Generating dependency: /src/base/main/mainUtils.c ./depends.sh gcc `dirname src/base/main/mainUtils.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainUtils.c > src/base/main/mainUtils.d ABC: `` Generating dependency: /src/base/main/libSupport.c ./depends.sh gcc `dirname src/base/main/libSupport.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/libSupport.c > src/base/main/libSupport.d ABC: `` Generating dependency: /src/base/main/mainReal.c ./depends.sh gcc `dirname src/base/main/mainReal.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainReal.c > src/base/main/mainReal.d ABC: `` Generating dependency: /src/base/main/mainLib.c ./depends.sh gcc `dirname src/base/main/mainLib.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainLib.c > src/base/main/mainLib.d ABC: `` Generating dependency: /src/base/main/mainInit.c ./depends.sh gcc `dirname src/base/main/mainInit.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainInit.c > src/base/main/mainInit.d ABC: `` Generating dependency: /src/base/main/mainFrame.c ./depends.sh gcc `dirname src/base/main/mainFrame.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainFrame.c > src/base/main/mainFrame.d ABC: `` Generating dependency: /src/base/main/main.c ./depends.sh gcc `dirname src/base/main/main.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/main.c > src/base/main/main.d ABC: `` Generating dependency: /src/base/io/ioWriteSmv.c ./depends.sh gcc `dirname src/base/io/ioWriteSmv.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteSmv.c > src/base/io/ioWriteSmv.d ABC: `` Generating dependency: /src/base/io/ioWriteVerilog.c ./depends.sh gcc `dirname src/base/io/ioWriteVerilog.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteVerilog.c > src/base/io/ioWriteVerilog.d ABC: `` Generating dependency: /src/base/io/ioWritePla.c ./depends.sh gcc `dirname src/base/io/ioWritePla.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWritePla.c > src/base/io/ioWritePla.d ABC: `` Generating dependency: /src/base/io/ioWriteList.c ./depends.sh gcc `dirname src/base/io/ioWriteList.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteList.c > src/base/io/ioWriteList.d ABC: `` Generating dependency: /src/base/io/ioWriteGml.c ./depends.sh gcc `dirname src/base/io/ioWriteGml.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteGml.c > src/base/io/ioWriteGml.d ABC: `` Generating dependency: /src/base/io/ioWriteEqn.c ./depends.sh gcc `dirname src/base/io/ioWriteEqn.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteEqn.c > src/base/io/ioWriteEqn.d ABC: `` Generating dependency: /src/base/io/ioWriteDot.c ./depends.sh gcc `dirname src/base/io/ioWriteDot.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteDot.c > src/base/io/ioWriteDot.d ABC: `` Generating dependency: /src/base/io/ioWriteCnf.c ./depends.sh gcc `dirname src/base/io/ioWriteCnf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteCnf.c > src/base/io/ioWriteCnf.d ABC: `` Generating dependency: /src/base/io/ioWriteBook.c ./depends.sh gcc `dirname src/base/io/ioWriteBook.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBook.c > src/base/io/ioWriteBook.d ABC: `` Generating dependency: /src/base/io/ioWriteBlifMv.c ./depends.sh gcc `dirname src/base/io/ioWriteBlifMv.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBlifMv.c > src/base/io/ioWriteBlifMv.d ABC: `` Generating dependency: /src/base/io/ioWriteBlif.c ./depends.sh gcc `dirname src/base/io/ioWriteBlif.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBlif.c > src/base/io/ioWriteBlif.d ABC: `` Generating dependency: /src/base/io/ioWriteBench.c ./depends.sh gcc `dirname src/base/io/ioWriteBench.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBench.c > src/base/io/ioWriteBench.d ABC: `` Generating dependency: /src/base/io/ioWriteBblif.c ./depends.sh gcc `dirname src/base/io/ioWriteBblif.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBblif.c > src/base/io/ioWriteBblif.d ABC: `` Generating dependency: /src/base/io/ioWriteBaf.c ./depends.sh gcc `dirname src/base/io/ioWriteBaf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBaf.c > src/base/io/ioWriteBaf.d ABC: `` Generating dependency: /src/base/io/ioWriteAiger.c ABC: `` Generating dependency: /src/base/io/ioUtil.c ./depends.sh gcc `dirname src/base/io/ioWriteAiger.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteAiger.c > src/base/io/ioWriteAiger.d ./depends.sh gcc `dirname src/base/io/ioUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioUtil.c > src/base/io/ioUtil.d ABC: `` Generating dependency: /src/base/io/ioReadVerilog.c ./depends.sh gcc `dirname src/base/io/ioReadVerilog.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadVerilog.c > src/base/io/ioReadVerilog.d ABC: `` Generating dependency: /src/base/io/ioReadPlaMo.c ./depends.sh gcc `dirname src/base/io/ioReadPlaMo.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadPlaMo.c > src/base/io/ioReadPlaMo.d ABC: `` Generating dependency: /src/base/io/ioReadPla.c ABC: `` Generating dependency: /src/base/io/ioReadEqn.c ABC: `` Generating dependency: /src/base/io/ioReadEdif.c ./depends.sh gcc `dirname src/base/io/ioReadPla.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadPla.c > src/base/io/ioReadPla.d ./depends.sh gcc `dirname src/base/io/ioReadEqn.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadEqn.c > src/base/io/ioReadEqn.d ./depends.sh gcc `dirname src/base/io/ioReadEdif.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadEdif.c > src/base/io/ioReadEdif.d ABC: `` Generating dependency: /src/base/io/ioReadDsd.c ./depends.sh gcc `dirname src/base/io/ioReadDsd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadDsd.c > src/base/io/ioReadDsd.d ABC: `` Generating dependency: /src/base/io/ioReadBlifMv.c ./depends.sh gcc `dirname src/base/io/ioReadBlifMv.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBlifMv.c > src/base/io/ioReadBlifMv.d ABC: `` Generating dependency: /src/base/io/ioReadBlifAig.c ./depends.sh gcc `dirname src/base/io/ioReadBlifAig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBlifAig.c > src/base/io/ioReadBlifAig.d ABC: `` Generating dependency: /src/base/io/ioReadBlif.c ./depends.sh gcc `dirname src/base/io/ioReadBlif.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBlif.c > src/base/io/ioReadBlif.d ABC: `` Generating dependency: /src/base/io/ioReadBench.c ./depends.sh gcc `dirname src/base/io/ioReadBench.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBench.c > src/base/io/ioReadBench.d ABC: `` Generating dependency: /src/base/io/ioReadBblif.c ./depends.sh gcc `dirname src/base/io/ioReadBblif.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBblif.c > src/base/io/ioReadBblif.d ABC: `` Generating dependency: /src/base/io/ioReadBaf.c ./depends.sh gcc `dirname src/base/io/ioReadBaf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBaf.c > src/base/io/ioReadBaf.d ABC: `` Generating dependency: /src/base/io/ioReadAiger.c ABC: `` Generating dependency: /src/base/io/ioJson.c ./depends.sh gcc `dirname src/base/io/ioReadAiger.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadAiger.c > src/base/io/ioReadAiger.d ./depends.sh gcc `dirname src/base/io/ioJson.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioJson.c > src/base/io/ioJson.d ABC: `` Generating dependency: /src/base/io/io.c ./depends.sh gcc `dirname src/base/io/io.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/io.c > src/base/io/io.d ABC: `` Generating dependency: /src/base/cmd/cmdUtils.c ./depends.sh gcc `dirname src/base/cmd/cmdUtils.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdUtils.c > src/base/cmd/cmdUtils.d ABC: `` Generating dependency: /src/base/cmd/cmdStarter.c ./depends.sh gcc `dirname src/base/cmd/cmdStarter.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdStarter.c > src/base/cmd/cmdStarter.d ABC: `` Generating dependency: /src/base/cmd/cmdPlugin.c ./depends.sh gcc `dirname src/base/cmd/cmdPlugin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdPlugin.c > src/base/cmd/cmdPlugin.d ABC: `` Generating dependency: /src/base/cmd/cmdLoad.c ./depends.sh gcc `dirname src/base/cmd/cmdLoad.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdLoad.c > src/base/cmd/cmdLoad.d ABC: `` Generating dependency: /src/base/cmd/cmdHist.c ./depends.sh gcc `dirname src/base/cmd/cmdHist.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdHist.c > src/base/cmd/cmdHist.d ABC: `` Generating dependency: /src/base/cmd/cmdFlag.c ./depends.sh gcc `dirname src/base/cmd/cmdFlag.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdFlag.c > src/base/cmd/cmdFlag.d ABC: `` Generating dependency: /src/base/cmd/cmdAuto.c ./depends.sh gcc `dirname src/base/cmd/cmdAuto.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdAuto.c > src/base/cmd/cmdAuto.d ABC: `` Generating dependency: /src/base/cmd/cmdApi.c ./depends.sh gcc `dirname src/base/cmd/cmdApi.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdApi.c > src/base/cmd/cmdApi.d ABC: `` Generating dependency: /src/base/cmd/cmdAlias.c ./depends.sh gcc `dirname src/base/cmd/cmdAlias.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdAlias.c > src/base/cmd/cmdAlias.d ABC: `` Generating dependency: /src/base/cmd/cmd.c ./depends.sh gcc `dirname src/base/cmd/cmd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmd.c > src/base/cmd/cmd.d ABC: `` Generating dependency: /src/base/abci/abcXsim.c ./depends.sh gcc `dirname src/base/abci/abcXsim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcXsim.c > src/base/abci/abcXsim.d ABC: `` Generating dependency: /src/base/abci/abcVerify.c ./depends.sh gcc `dirname src/base/abci/abcVerify.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcVerify.c > src/base/abci/abcVerify.d ABC: `` Generating dependency: /src/base/abci/abcUnreach.c ABC: `` Generating dependency: /src/base/abci/abcUnate.c ./depends.sh gcc `dirname src/base/abci/abcUnate.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcUnate.c > src/base/abci/abcUnate.d ./depends.sh gcc `dirname src/base/abci/abcUnreach.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcUnreach.c > src/base/abci/abcUnreach.d ABC: `` Generating dependency: /src/base/abci/abcTiming.c ./depends.sh gcc `dirname src/base/abci/abcTiming.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcTiming.c > src/base/abci/abcTiming.d ABC: `` Generating dependency: /src/base/abci/abcTim.c ./depends.sh gcc `dirname src/base/abci/abcTim.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcTim.c > src/base/abci/abcTim.d ABC: `` Generating dependency: /src/base/abci/abcSymm.c ./depends.sh gcc `dirname src/base/abci/abcSymm.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSymm.c > src/base/abci/abcSymm.d ABC: `` Generating dependency: /src/base/abci/abcSweep.c ./depends.sh gcc `dirname src/base/abci/abcSweep.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSweep.c > src/base/abci/abcSweep.d ABC: `` Generating dependency: /src/base/abci/abcStrash.c ./depends.sh gcc `dirname src/base/abci/abcStrash.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcStrash.c > src/base/abci/abcStrash.d ABC: `` Generating dependency: /src/base/abci/abcSpeedup.c ./depends.sh gcc `dirname src/base/abci/abcSpeedup.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSpeedup.c > src/base/abci/abcSpeedup.d ABC: `` Generating dependency: /src/base/abci/abcSense.c ./depends.sh gcc `dirname src/base/abci/abcSense.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSense.c > src/base/abci/abcSense.d ABC: `` Generating dependency: /src/base/abci/abcScorr.c ./depends.sh gcc `dirname src/base/abci/abcScorr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcScorr.c > src/base/abci/abcScorr.d ABC: `` Generating dependency: /src/base/abci/abcSaucy.c ./depends.sh gcc `dirname src/base/abci/abcSaucy.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSaucy.c > src/base/abci/abcSaucy.d ABC: `` Generating dependency: /src/base/abci/abcSat.c ./depends.sh gcc `dirname src/base/abci/abcSat.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSat.c > src/base/abci/abcSat.d ABC: `` Generating dependency: /src/base/abci/abcRunGen.c ./depends.sh gcc `dirname src/base/abci/abcRunGen.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRunGen.c > src/base/abci/abcRunGen.d ABC: `` Generating dependency: /src/base/abci/abcRr.c ./depends.sh gcc `dirname src/base/abci/abcRr.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRr.c > src/base/abci/abcRr.d ABC: `` Generating dependency: /src/base/abci/abcRpo.c ./depends.sh gcc `dirname src/base/abci/abcRpo.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRpo.c > src/base/abci/abcRpo.d ABC: `` Generating dependency: /src/base/abci/abcRewrite.c ./depends.sh gcc `dirname src/base/abci/abcRewrite.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRewrite.c > src/base/abci/abcRewrite.d ABC: `` Generating dependency: /src/base/abci/abcResub.c ./depends.sh gcc `dirname src/base/abci/abcResub.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcResub.c > src/base/abci/abcResub.d ABC: `` Generating dependency: /src/base/abci/abcRestruct.c ./depends.sh gcc `dirname src/base/abci/abcRestruct.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRestruct.c > src/base/abci/abcRestruct.d ABC: `` Generating dependency: /src/base/abci/abcReorder.c ./depends.sh gcc `dirname src/base/abci/abcReorder.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReorder.c > src/base/abci/abcReorder.d ABC: `` Generating dependency: /src/base/abci/abcRenode.c ./depends.sh gcc `dirname src/base/abci/abcRenode.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRenode.c > src/base/abci/abcRenode.d ABC: `` Generating dependency: /src/base/abci/abcRefactor.c ./depends.sh gcc `dirname src/base/abci/abcRefactor.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRefactor.c > src/base/abci/abcRefactor.d ABC: `` Generating dependency: /src/base/abci/abcReach.c ./depends.sh gcc `dirname src/base/abci/abcReach.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReach.c > src/base/abci/abcReach.d ABC: `` Generating dependency: /src/base/abci/abcReconv.c ./depends.sh gcc `dirname src/base/abci/abcReconv.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReconv.c > src/base/abci/abcReconv.d ABC: `` Generating dependency: /src/base/abci/abcRec3.c ./depends.sh gcc `dirname src/base/abci/abcRec3.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRec3.c > src/base/abci/abcRec3.d ABC: `` Generating dependency: /src/base/abci/abcQuant.c ./depends.sh gcc `dirname src/base/abci/abcQuant.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcQuant.c > src/base/abci/abcQuant.d ABC: `` Generating dependency: /src/base/abci/abcQbf.c ./depends.sh gcc `dirname src/base/abci/abcQbf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcQbf.c > src/base/abci/abcQbf.d ABC: `` Generating dependency: /src/base/abci/abcProve.c ./depends.sh gcc `dirname src/base/abci/abcProve.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcProve.c > src/base/abci/abcProve.d ABC: `` Generating dependency: /src/base/abci/abcPrint.c ./depends.sh gcc `dirname src/base/abci/abcPrint.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcPrint.c > src/base/abci/abcPrint.d ABC: `` Generating dependency: /src/base/abci/abcPart.c ./depends.sh gcc `dirname src/base/abci/abcPart.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcPart.c > src/base/abci/abcPart.d ABC: `` Generating dependency: /src/base/abci/abcOrder.c ./depends.sh gcc `dirname src/base/abci/abcOrder.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcOrder.c > src/base/abci/abcOrder.d ABC: `` Generating dependency: /src/base/abci/abcOdc.c ./depends.sh gcc `dirname src/base/abci/abcOdc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcOdc.c > src/base/abci/abcOdc.d ABC: `` Generating dependency: /src/base/abci/abcNpnSave.c ./depends.sh gcc `dirname src/base/abci/abcNpnSave.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcNpnSave.c > src/base/abci/abcNpnSave.d ABC: `` Generating dependency: /src/base/abci/abcNpn.c ./depends.sh gcc `dirname src/base/abci/abcNpn.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcNpn.c > src/base/abci/abcNpn.d ABC: `` Generating dependency: /src/base/abci/abcNtbdd.c ./depends.sh gcc `dirname src/base/abci/abcNtbdd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcNtbdd.c > src/base/abci/abcNtbdd.d ABC: `` Generating dependency: /src/base/abci/abcMulti.c ./depends.sh gcc `dirname src/base/abci/abcMulti.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMulti.c > src/base/abci/abcMulti.d ABC: `` Generating dependency: /src/base/abci/abcMiter.c ./depends.sh gcc `dirname src/base/abci/abcMiter.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMiter.c > src/base/abci/abcMiter.d ABC: `` Generating dependency: /src/base/abci/abcMini.c ./depends.sh gcc `dirname src/base/abci/abcMini.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMini.c > src/base/abci/abcMini.d ABC: `` Generating dependency: /src/base/abci/abcMfs.c ./depends.sh gcc `dirname src/base/abci/abcMfs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMfs.c > src/base/abci/abcMfs.d ABC: `` Generating dependency: /src/base/abci/abcMerge.c ./depends.sh gcc `dirname src/base/abci/abcMerge.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMerge.c > src/base/abci/abcMerge.d ABC: `` Generating dependency: /src/base/abci/abcMap.c ./depends.sh gcc `dirname src/base/abci/abcMap.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMap.c > src/base/abci/abcMap.d ABC: `` Generating dependency: /src/base/abci/abcLutmin.c ./depends.sh gcc `dirname src/base/abci/abcLutmin.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcLutmin.c > src/base/abci/abcLutmin.d ABC: `` Generating dependency: /src/base/abci/abcLut.c ./depends.sh gcc `dirname src/base/abci/abcLut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcLut.c > src/base/abci/abcLut.d ABC: `` Generating dependency: /src/base/abci/abcLog.c ./depends.sh gcc `dirname src/base/abci/abcLog.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcLog.c > src/base/abci/abcLog.d ABC: `` Generating dependency: /src/base/abci/abcIvy.c ./depends.sh gcc `dirname src/base/abci/abcIvy.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIvy.c > src/base/abci/abcIvy.d ABC: `` Generating dependency: /src/base/abci/abcIfMux.c ./depends.sh gcc `dirname src/base/abci/abcIfMux.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIfMux.c > src/base/abci/abcIfMux.d ABC: `` Generating dependency: /src/base/abci/abcIfif.c ./depends.sh gcc `dirname src/base/abci/abcIfif.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIfif.c > src/base/abci/abcIfif.d ABC: `` Generating dependency: /src/base/abci/abcIf.c ./depends.sh gcc `dirname src/base/abci/abcIf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIf.c > src/base/abci/abcIf.d ABC: `` Generating dependency: /src/base/abci/abcHaig.c ./depends.sh gcc `dirname src/base/abci/abcHaig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcHaig.c > src/base/abci/abcHaig.d ABC: `` Generating dependency: /src/base/abci/abcGen.c ./depends.sh gcc `dirname src/base/abci/abcGen.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcGen.c > src/base/abci/abcGen.d ABC: `` Generating dependency: /src/base/abci/abcFxu.c ./depends.sh gcc `dirname src/base/abci/abcFxu.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcFxu.c > src/base/abci/abcFxu.d ABC: `` Generating dependency: /src/base/abci/abcFx.c ./depends.sh gcc `dirname src/base/abci/abcFx.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcFx.c > src/base/abci/abcFx.d ABC: `` Generating dependency: /src/base/abci/abcFraig.c ./depends.sh gcc `dirname src/base/abci/abcFraig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcFraig.c > src/base/abci/abcFraig.d ABC: `` Generating dependency: /src/base/abci/abcExtract.c ./depends.sh gcc `dirname src/base/abci/abcExtract.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcExtract.c > src/base/abci/abcExtract.d ABC: `` Generating dependency: /src/base/abci/abcExact.c ABC: `` Generating dependency: /src/base/abci/abcEco.c ./depends.sh gcc `dirname src/base/abci/abcExact.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcExact.c > src/base/abci/abcExact.d ./depends.sh gcc `dirname src/base/abci/abcEco.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcEco.c > src/base/abci/abcEco.d ABC: `` Generating dependency: /src/base/abci/abcDsd.c ./depends.sh gcc `dirname src/base/abci/abcDsd.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDsd.c > src/base/abci/abcDsd.d ABC: `` Generating dependency: /src/base/abci/abcDress3.c ./depends.sh gcc `dirname src/base/abci/abcDress3.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDress3.c > src/base/abci/abcDress3.d ABC: `` Generating dependency: /src/base/abci/abcDress2.c ./depends.sh gcc `dirname src/base/abci/abcDress2.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDress2.c > src/base/abci/abcDress2.d ABC: `` Generating dependency: /src/base/abci/abcDress.c ./depends.sh gcc `dirname src/base/abci/abcDress.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDress.c > src/base/abci/abcDress.d ABC: `` Generating dependency: /src/base/abci/abcDetect.c ./depends.sh gcc `dirname src/base/abci/abcDetect.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDetect.c > src/base/abci/abcDetect.d ABC: `` Generating dependency: /src/base/abci/abcDec.c ./depends.sh gcc `dirname src/base/abci/abcDec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDec.c > src/base/abci/abcDec.d ABC: `` Generating dependency: /src/base/abci/abcDebug.c ./depends.sh gcc `dirname src/base/abci/abcDebug.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDebug.c > src/base/abci/abcDebug.d ABC: `` Generating dependency: /src/base/abci/abcDar.c ./depends.sh gcc `dirname src/base/abci/abcDar.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDar.c > src/base/abci/abcDar.d ABC: `` Generating dependency: /src/base/abci/abcCut.c ./depends.sh gcc `dirname src/base/abci/abcCut.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCut.c > src/base/abci/abcCut.d ABC: `` Generating dependency: /src/base/abci/abcCollapse.c ./depends.sh gcc `dirname src/base/abci/abcCollapse.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCollapse.c > src/base/abci/abcCollapse.d ABC: `` Generating dependency: /src/base/abci/abcCascade.c ABC: `` Generating dependency: /src/base/abci/abcCas.c ./depends.sh gcc `dirname src/base/abci/abcCascade.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCascade.c > src/base/abci/abcCascade.d ./depends.sh gcc `dirname src/base/abci/abcCas.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCas.c > src/base/abci/abcCas.d ABC: `` Generating dependency: /src/base/abci/abcBmc.c ./depends.sh gcc `dirname src/base/abci/abcBmc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBmc.c > src/base/abci/abcBmc.d ABC: `` Generating dependency: /src/base/abci/abcBm.c ./depends.sh gcc `dirname src/base/abci/abcBm.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBm.c > src/base/abci/abcBm.d ABC: `` Generating dependency: /src/base/abci/abcBidec.c ./depends.sh gcc `dirname src/base/abci/abcBidec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBidec.c > src/base/abci/abcBidec.d ABC: `` Generating dependency: /src/base/abci/abcBalance.c ./depends.sh gcc `dirname src/base/abci/abcBalance.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBalance.c > src/base/abci/abcBalance.d ABC: `` Generating dependency: /src/base/abci/abcAuto.c ./depends.sh gcc `dirname src/base/abci/abcAuto.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcAuto.c > src/base/abci/abcAuto.d ABC: `` Generating dependency: /src/base/abci/abcAttach.c ./depends.sh gcc `dirname src/base/abci/abcAttach.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcAttach.c > src/base/abci/abcAttach.d ABC: `` Generating dependency: /src/base/abci/abc.c ./depends.sh gcc `dirname src/base/abci/abc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abc.c > src/base/abci/abc.d ABC: `` Generating dependency: /src/base/abc/abcUtil.c ./depends.sh gcc `dirname src/base/abc/abcUtil.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcUtil.c > src/base/abc/abcUtil.d ABC: `` Generating dependency: /src/base/abc/abcSop.c ./depends.sh gcc `dirname src/base/abc/abcSop.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcSop.c > src/base/abc/abcSop.d ABC: `` Generating dependency: /src/base/abc/abcShow.c ./depends.sh gcc `dirname src/base/abc/abcShow.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcShow.c > src/base/abc/abcShow.d ABC: `` Generating dependency: /src/base/abc/abcRefs.c ./depends.sh gcc `dirname src/base/abc/abcRefs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcRefs.c > src/base/abc/abcRefs.d ABC: `` Generating dependency: /src/base/abc/abcObj.c ./depends.sh gcc `dirname src/base/abc/abcObj.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcObj.c > src/base/abc/abcObj.d ABC: `` Generating dependency: /src/base/abc/abcNtk.c ./depends.sh gcc `dirname src/base/abc/abcNtk.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNtk.c > src/base/abc/abcNtk.d ABC: `` Generating dependency: /src/base/abc/abcNetlist.c ./depends.sh gcc `dirname src/base/abc/abcNetlist.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNetlist.c > src/base/abc/abcNetlist.d ABC: `` Generating dependency: /src/base/abc/abcNames.c ./depends.sh gcc `dirname src/base/abc/abcNames.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNames.c > src/base/abc/abcNames.d ABC: `` Generating dependency: /src/base/abc/abcMinBase.c ./depends.sh gcc `dirname src/base/abc/abcMinBase.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcMinBase.c > src/base/abc/abcMinBase.d ABC: `` Generating dependency: /src/base/abc/abcLib.c ./depends.sh gcc `dirname src/base/abc/abcLib.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcLib.c > src/base/abc/abcLib.d ABC: `` Generating dependency: /src/base/abc/abcLatch.c ./depends.sh gcc `dirname src/base/abc/abcLatch.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcLatch.c > src/base/abc/abcLatch.d ABC: `` Generating dependency: /src/base/abc/abcHieNew.c ./depends.sh gcc `dirname src/base/abc/abcHieNew.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHieNew.c > src/base/abc/abcHieNew.d ABC: `` Generating dependency: /src/base/abc/abcHieGia.c ./depends.sh gcc `dirname src/base/abc/abcHieGia.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHieGia.c > src/base/abc/abcHieGia.d ABC: `` Generating dependency: /src/base/abc/abcHieCec.c ./depends.sh gcc `dirname src/base/abc/abcHieCec.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHieCec.c > src/base/abc/abcHieCec.d ABC: `` Generating dependency: /src/base/abc/abcHie.c ./depends.sh gcc `dirname src/base/abc/abcHie.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHie.c > src/base/abc/abcHie.d ABC: `` Generating dependency: /src/base/abc/abcFunc.c ABC: `` Generating dependency: /src/base/abc/abcFanOrder.c ./depends.sh gcc `dirname src/base/abc/abcFunc.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcFunc.c > src/base/abc/abcFunc.d ./depends.sh gcc `dirname src/base/abc/abcFanOrder.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcFanOrder.c > src/base/abc/abcFanOrder.d ABC: `` Generating dependency: /src/base/abc/abcFanio.c ./depends.sh gcc `dirname src/base/abc/abcFanio.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcFanio.c > src/base/abc/abcFanio.d ABC: `` Generating dependency: /src/base/abc/abcDfs.c ./depends.sh gcc `dirname src/base/abc/abcDfs.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcDfs.c > src/base/abc/abcDfs.d ABC: `` Generating dependency: /src/base/abc/abcCheck.c ./depends.sh gcc `dirname src/base/abc/abcCheck.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcCheck.c > src/base/abc/abcCheck.d ABC: `` Generating dependency: /src/base/abc/abcBlifMv.c ./depends.sh gcc `dirname src/base/abc/abcBlifMv.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcBlifMv.c > src/base/abc/abcBlifMv.d ABC: `` Generating dependency: /src/base/abc/abcBarBuf.c ./depends.sh gcc `dirname src/base/abc/abcBarBuf.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcBarBuf.c > src/base/abc/abcBarBuf.d ABC: `` Generating dependency: /src/base/abc/abcAig.c ./depends.sh gcc `dirname src/base/abc/abcAig.c` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcAig.c > src/base/abc/abcAig.d ABC: `` Generating dependency: /src/sat/glucose/System.cpp ./depends.sh g++ `dirname src/sat/glucose/System.cpp` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/System.cpp > src/sat/glucose/System.d ABC: `` Generating dependency: /src/sat/glucose/SimpSolver.cpp ./depends.sh g++ `dirname src/sat/glucose/SimpSolver.cpp` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/SimpSolver.cpp > src/sat/glucose/SimpSolver.d ABC: `` Generating dependency: /src/sat/glucose/Options.cpp ./depends.sh g++ `dirname src/sat/glucose/Options.cpp` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/Options.cpp > src/sat/glucose/Options.d ABC: `` Generating dependency: /src/sat/glucose/Glucose.cpp ./depends.sh g++ `dirname src/sat/glucose/Glucose.cpp` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/Glucose.cpp > src/sat/glucose/Glucose.d ABC: `` Generating dependency: /src/sat/glucose/AbcGlucoseCmd.cpp ./depends.sh g++ `dirname src/sat/glucose/AbcGlucoseCmd.cpp` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/AbcGlucoseCmd.cpp > src/sat/glucose/AbcGlucoseCmd.d ABC: `` Generating dependency: /src/sat/glucose/AbcGlucose.cpp ./depends.sh g++ `dirname src/sat/glucose/AbcGlucose.cpp` -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/AbcGlucose.cpp > src/sat/glucose/AbcGlucose.d ABC: Using CC=gcc ABC: Using CXX=g++ ABC: Using LD=g++ ABC: Compiling with CUDD ABC: Using libreadline ABC: Using pthreads ABC: Found GCC_VERSION 9 ABC: Found GCC_MAJOR>=5 ABC: Using CFLAGS=-g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable ABC: `` Compiling: /src/sat/glucose/AbcGlucose.cpp ABC: `` Compiling: /src/sat/glucose/AbcGlucoseCmd.cpp g++ -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/AbcGlucose.cpp -o src/sat/glucose/AbcGlucose.o g++ -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/AbcGlucoseCmd.cpp -o src/sat/glucose/AbcGlucoseCmd.o ABC: `` Compiling: /src/sat/glucose/Glucose.cpp ABC: `` Compiling: /src/sat/glucose/Options.cpp g++ -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/Glucose.cpp -o src/sat/glucose/Glucose.o g++ -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/Options.cpp -o src/sat/glucose/Options.o In file included from ./src/sat/glucose/Sort.h:24, from src/sat/glucose/Glucose.cpp:32: ./src/sat/glucose/Vec.h: In instantiation of ‘void Gluco::vec::capacity(int) [with T = Gluco::vec]’: ./src/sat/glucose/Vec.h:117:5: required from ‘void Gluco::vec::growTo(int) [with T = Gluco::vec]’ ./src/sat/glucose/SolverTypes.h:294:39: required from ‘void Gluco::OccLists::init(const Idx&) [with Idx = Gluco::Lit; Vec = Gluco::vec; Deleted = Gluco::Solver::WatcherDeleted]’ src/sat/glucose/Glucose.cpp:205:35: required from here ./src/sat/glucose/Vec.h:101:55: warning: ‘void* realloc(void*, size_t)’ moving an object of non-trivially copyable type ‘class Gluco::vec’; use ‘new’ and ‘delete’ instead [-Wclass-memaccess] 101 | if (add > INT_MAX - cap || (((data = (T*)::realloc(data, (cap += add) * sizeof(T))) == NULL) && errno == ENOMEM)) | ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ In file included from ./src/sat/glucose/Sort.h:24, from src/sat/glucose/Glucose.cpp:32: ./src/sat/glucose/Vec.h:40:7: note: ‘class Gluco::vec’ declared here 40 | class vec { | ^~~ ABC: `` Compiling: /src/sat/glucose/SimpSolver.cpp g++ -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/SimpSolver.cpp -o src/sat/glucose/SimpSolver.o ABC: `` Compiling: /src/sat/glucose/System.cpp g++ -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/glucose/System.cpp -o src/sat/glucose/System.o ABC: `` Compiling: /src/base/abc/abcAig.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcAig.c -o src/base/abc/abcAig.o In file included from ./src/sat/glucose/Sort.h:24, from src/sat/glucose/SimpSolver.cpp:21: ./src/sat/glucose/Vec.h: In instantiation of ‘void Gluco::vec::capacity(int) [with T = Gluco::vec]’: ./src/sat/glucose/Vec.h:117:5: required from ‘void Gluco::vec::growTo(int) [with T = Gluco::vec]’ ./src/sat/glucose/SolverTypes.h:294:39: required from ‘void Gluco::OccLists::init(const Idx&) [with Idx = int; Vec = Gluco::vec; Deleted = Gluco::SimpSolver::ClauseDeleted]’ src/sat/glucose/SimpSolver.cpp:88:26: required from here ./src/sat/glucose/Vec.h:101:55: warning: ‘void* realloc(void*, size_t)’ moving an object of non-trivially copyable type ‘class Gluco::vec’; use ‘new’ and ‘delete’ instead [-Wclass-memaccess] 101 | if (add > INT_MAX - cap || (((data = (T*)::realloc(data, (cap += add) * sizeof(T))) == NULL) && errno == ENOMEM)) | ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ In file included from ./src/sat/glucose/Sort.h:24, from src/sat/glucose/SimpSolver.cpp:21: ./src/sat/glucose/Vec.h:40:7: note: ‘class Gluco::vec’ declared here 40 | class vec { | ^~~ ABC: `` Compiling: /src/base/abc/abcBarBuf.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcBarBuf.c -o src/base/abc/abcBarBuf.o ABC: `` Compiling: /src/base/abc/abcBlifMv.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcBlifMv.c -o src/base/abc/abcBlifMv.o ABC: `` Compiling: /src/base/abc/abcCheck.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcCheck.c -o src/base/abc/abcCheck.o ABC: `` Compiling: /src/base/abc/abcDfs.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcDfs.c -o src/base/abc/abcDfs.o ABC: `` Compiling: /src/base/abc/abcFanio.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcFanio.c -o src/base/abc/abcFanio.o ABC: `` Compiling: /src/base/abc/abcFanOrder.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcFanOrder.c -o src/base/abc/abcFanOrder.o ABC: `` Compiling: /src/base/abc/abcFunc.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcFunc.c -o src/base/abc/abcFunc.o ABC: `` Compiling: /src/base/abc/abcHie.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHie.c -o src/base/abc/abcHie.o ABC: `` Compiling: /src/base/abc/abcHieCec.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHieCec.c -o src/base/abc/abcHieCec.o ABC: `` Compiling: /src/base/abc/abcHieGia.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHieGia.c -o src/base/abc/abcHieGia.o ABC: `` Compiling: /src/base/abc/abcHieNew.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcHieNew.c -o src/base/abc/abcHieNew.o ABC: `` Compiling: /src/base/abc/abcLatch.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcLatch.c -o src/base/abc/abcLatch.o ABC: `` Compiling: /src/base/abc/abcLib.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcLib.c -o src/base/abc/abcLib.o ABC: `` Compiling: /src/base/abc/abcMinBase.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcMinBase.c -o src/base/abc/abcMinBase.o ABC: `` Compiling: /src/base/abc/abcNames.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNames.c -o src/base/abc/abcNames.o ABC: `` Compiling: /src/base/abc/abcNetlist.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNetlist.c -o src/base/abc/abcNetlist.o src/base/abc/abcNames.c: In function ‘Abc_NtkAddDummyPiNames’: src/base/abc/abcNames.c:125:25: warning: ‘%0*d’ directive writing between 1 and 2147483647 bytes into a region of size 1998 [-Wformat-overflow=] 125 | sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num ); | ^~~~ src/base/abc/abcNames.c:125:22: note: directive argument in the range [0, 2147483647] 125 | sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num ); | ^~~~~~~~ In file included from /usr/include/stdio.h:867, from src/base/abc/abc.h:29, from src/base/abc/abcNames.c:21: /usr/include/x86_64-linux-gnu/bits/stdio2.h:36:10: note: ‘__builtin___sprintf_chk’ output between 4 and 2147483650 bytes into a destination of size 2000 36 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 37 | __bos (__s), __fmt, __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ src/base/abc/abcNames.c: In function ‘Abc_NtkAddDummyPoNames’: src/base/abc/abcNames.c:125:25: warning: ‘%0*d’ directive writing between 1 and 2147483647 bytes into a region of size 1998 [-Wformat-overflow=] 125 | sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num ); | ^~~~ src/base/abc/abcNames.c:125:22: note: directive argument in the range [0, 2147483647] 125 | sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num ); | ^~~~~~~~ In file included from /usr/include/stdio.h:867, from src/base/abc/abc.h:29, from src/base/abc/abcNames.c:21: /usr/include/x86_64-linux-gnu/bits/stdio2.h:36:10: note: ‘__builtin___sprintf_chk’ output between 4 and 2147483650 bytes into a destination of size 2000 36 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 37 | __bos (__s), __fmt, __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ src/base/abc/abcNames.c: In function ‘Abc_NtkAddDummyBoxNames’: src/base/abc/abcNames.c:125:25: warning: ‘%0*d’ directive writing between 1 and 2147483647 bytes into a region of size 1999 [-Wformat-overflow=] 125 | sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num ); | ^~~~ src/base/abc/abcNames.c:125:22: note: directive argument in the range [0, 2147483647] 125 | sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num ); | ^~~~~~~~ In file included from /usr/include/stdio.h:867, from src/base/abc/abc.h:29, from src/base/abc/abcNames.c:21: /usr/include/x86_64-linux-gnu/bits/stdio2.h:36:10: note: ‘__builtin___sprintf_chk’ output between 3 and 2147483649 bytes into a destination of size 2000 36 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 37 | __bos (__s), __fmt, __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ src/base/abc/abcNames.c:125:25: warning: ‘%0*d’ directive writing between 1 and 2147483647 bytes into a region of size between 1901 and 2000 [-Wformat-overflow=] 125 | sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num ); | ^~~~ src/base/abc/abcNames.c:125:22: note: directive argument in the range [0, 2147483647] 125 | sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num ); | ^~~~~~~~ In file included from /usr/include/stdio.h:867, from src/base/abc/abc.h:29, from src/base/abc/abcNames.c:21: /usr/include/x86_64-linux-gnu/bits/stdio2.h:36:10: note: ‘__builtin___sprintf_chk’ output between 2 and 2147483747 bytes into a destination of size 2000 36 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 37 | __bos (__s), __fmt, __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ src/base/abc/abcNames.c:125:25: warning: ‘%0*d’ directive writing between 1 and 2147483647 bytes into a region of size between 1901 and 2000 [-Wformat-overflow=] 125 | sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num ); | ^~~~ src/base/abc/abcNames.c:125:22: note: directive argument in the range [0, 2147483647] 125 | sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num ); | ^~~~~~~~ In file included from /usr/include/stdio.h:867, from src/base/abc/abc.h:29, from src/base/abc/abcNames.c:21: /usr/include/x86_64-linux-gnu/bits/stdio2.h:36:10: note: ‘__builtin___sprintf_chk’ output between 2 and 2147483747 bytes into a destination of size 2000 36 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 37 | __bos (__s), __fmt, __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ABC: `` Compiling: /src/base/abc/abcNtk.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcNtk.c -o src/base/abc/abcNtk.o ABC: `` Compiling: /src/base/abc/abcObj.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcObj.c -o src/base/abc/abcObj.o ABC: `` Compiling: /src/base/abc/abcRefs.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcRefs.c -o src/base/abc/abcRefs.o ABC: `` Compiling: /src/base/abc/abcShow.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcShow.c -o src/base/abc/abcShow.o ABC: `` Compiling: /src/base/abc/abcSop.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcSop.c -o src/base/abc/abcSop.o ABC: `` Compiling: /src/base/abc/abcUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abc/abcUtil.c -o src/base/abc/abcUtil.o ABC: `` Compiling: /src/base/abci/abc.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abc.c -o src/base/abci/abc.o ABC: `` Compiling: /src/base/abci/abcAttach.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcAttach.c -o src/base/abci/abcAttach.o ABC: `` Compiling: /src/base/abci/abcAuto.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcAuto.c -o src/base/abci/abcAuto.o ABC: `` Compiling: /src/base/abci/abcBalance.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBalance.c -o src/base/abci/abcBalance.o ABC: `` Compiling: /src/base/abci/abcBidec.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBidec.c -o src/base/abci/abcBidec.o ABC: `` Compiling: /src/base/abci/abcBm.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBm.c -o src/base/abci/abcBm.o ABC: `` Compiling: /src/base/abci/abcBmc.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcBmc.c -o src/base/abci/abcBmc.o ABC: `` Compiling: /src/base/abci/abcCas.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCas.c -o src/base/abci/abcCas.o ABC: `` Compiling: /src/base/abci/abcCascade.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCascade.c -o src/base/abci/abcCascade.o ABC: `` Compiling: /src/base/abci/abcCollapse.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCollapse.c -o src/base/abci/abcCollapse.o ABC: `` Compiling: /src/base/abci/abcCut.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcCut.c -o src/base/abci/abcCut.o ABC: `` Compiling: /src/base/abci/abcDar.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDar.c -o src/base/abci/abcDar.o ABC: `` Compiling: /src/base/abci/abcDebug.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDebug.c -o src/base/abci/abcDebug.o ABC: `` Compiling: /src/base/abci/abcDec.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDec.c -o src/base/abci/abcDec.o ABC: `` Compiling: /src/base/abci/abcDetect.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDetect.c -o src/base/abci/abcDetect.o ABC: `` Compiling: /src/base/abci/abcDress.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDress.c -o src/base/abci/abcDress.o ABC: `` Compiling: /src/base/abci/abcDress2.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDress2.c -o src/base/abci/abcDress2.o ABC: `` Compiling: /src/base/abci/abcDress3.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDress3.c -o src/base/abci/abcDress3.o ABC: `` Compiling: /src/base/abci/abcDsd.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcDsd.c -o src/base/abci/abcDsd.o ABC: `` Compiling: /src/base/abci/abcEco.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcEco.c -o src/base/abci/abcEco.o ABC: `` Compiling: /src/base/abci/abcExact.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcExact.c -o src/base/abci/abcExact.o ABC: `` Compiling: /src/base/abci/abcExtract.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcExtract.c -o src/base/abci/abcExtract.o ABC: `` Compiling: /src/base/abci/abcFraig.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcFraig.c -o src/base/abci/abcFraig.o src/base/abci/abc.c: In function ‘Abc_CommandAbc9Put’: src/base/abci/abc.c:29882:43: warning: ‘%0*d’ directive writing between 1 and 2147483647 bytes into a region of size 97 [-Wformat-overflow=] 29882 | sprintf( pSuffix, "_li%0*d", nDigits, i-Abc_NtkPoNum(pNtk) ); | ^~~~ src/base/abci/abc.c:29882:39: note: directive argument in the range [0, 2147483647] 29882 | sprintf( pSuffix, "_li%0*d", nDigits, i-Abc_NtkPoNum(pNtk) ); | ^~~~~~~~~ In file included from /usr/include/stdio.h:867, from ./src/base/abc/abc.h:29, from src/base/abci/abc.c:21: /usr/include/x86_64-linux-gnu/bits/stdio2.h:36:10: note: ‘__builtin___sprintf_chk’ output between 5 and 2147483651 bytes into a destination of size 100 36 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 37 | __bos (__s), __fmt, __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ABC: `` Compiling: /src/base/abci/abcFx.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcFx.c -o src/base/abci/abcFx.o ABC: `` Compiling: /src/base/abci/abcFxu.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcFxu.c -o src/base/abci/abcFxu.o ABC: `` Compiling: /src/base/abci/abcGen.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcGen.c -o src/base/abci/abcGen.o ABC: `` Compiling: /src/base/abci/abcHaig.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcHaig.c -o src/base/abci/abcHaig.o ABC: `` Compiling: /src/base/abci/abcIf.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIf.c -o src/base/abci/abcIf.o ABC: `` Compiling: /src/base/abci/abcIfif.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIfif.c -o src/base/abci/abcIfif.o ABC: `` Compiling: /src/base/abci/abcIfMux.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIfMux.c -o src/base/abci/abcIfMux.o ABC: `` Compiling: /src/base/abci/abcIvy.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcIvy.c -o src/base/abci/abcIvy.o ABC: `` Compiling: /src/base/abci/abcLog.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcLog.c -o src/base/abci/abcLog.o ABC: `` Compiling: /src/base/abci/abcLut.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcLut.c -o src/base/abci/abcLut.o ABC: `` Compiling: /src/base/abci/abcLutmin.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcLutmin.c -o src/base/abci/abcLutmin.o ABC: `` Compiling: /src/base/abci/abcMap.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMap.c -o src/base/abci/abcMap.o src/base/abci/abc.c: In function ‘Abc_CommandAbc9Cone’: src/base/abci/abc.c:41015:34: warning: ‘%0*d’ directive writing between 1 and 2147483647 bytes into a region of size 999 [-Wformat-overflow=] 41015 | sprintf( Buffer, "%s_%0*d.aig", Extra_FileNameGeneric(pAbc->pGia->pSpec), nDigits, i ); | ^~~~ src/base/abci/abc.c:41015:30: note: directive argument in the range [0, 2147483647] 41015 | sprintf( Buffer, "%s_%0*d.aig", Extra_FileNameGeneric(pAbc->pGia->pSpec), nDigits, i ); | ^~~~~~~~~~~~~ In file included from /usr/include/stdio.h:867, from ./src/base/abc/abc.h:29, from src/base/abci/abc.c:21: /usr/include/x86_64-linux-gnu/bits/stdio2.h:36:10: note: ‘__builtin___sprintf_chk’ output 7 or more bytes (assuming 2147483653) into a destination of size 1000 36 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 37 | __bos (__s), __fmt, __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ABC: `` Compiling: /src/base/abci/abcMerge.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMerge.c -o src/base/abci/abcMerge.o ABC: `` Compiling: /src/base/abci/abcMfs.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMfs.c -o src/base/abci/abcMfs.o ABC: `` Compiling: /src/base/abci/abcMini.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMini.c -o src/base/abci/abcMini.o ABC: `` Compiling: /src/base/abci/abcMiter.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMiter.c -o src/base/abci/abcMiter.o ABC: `` Compiling: /src/base/abci/abcMulti.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcMulti.c -o src/base/abci/abcMulti.o ABC: `` Compiling: /src/base/abci/abcNtbdd.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcNtbdd.c -o src/base/abci/abcNtbdd.o ABC: `` Compiling: /src/base/abci/abcNpn.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcNpn.c -o src/base/abci/abcNpn.o ABC: `` Compiling: /src/base/abci/abcNpnSave.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcNpnSave.c -o src/base/abci/abcNpnSave.o src/base/abci/abcMiter.c: In function ‘Abc_NtkFrames’: src/base/abci/abcMiter.c:867:24: warning: ‘%02d’ directive writing between 2 and 10 bytes into a region of size 9 [-Wformat-overflow=] 867 | sprintf( Buffer, "_%02d", iFrame ); | ^~~~ src/base/abci/abcMiter.c:867:22: note: directive argument in the range [0, 2147483646] 867 | sprintf( Buffer, "_%02d", iFrame ); | ^~~~~~~ In file included from /usr/include/stdio.h:867, from ./src/base/abc/abc.h:29, from src/base/abci/abcMiter.c:21: /usr/include/x86_64-linux-gnu/bits/stdio2.h:36:10: note: ‘__builtin___sprintf_chk’ output between 4 and 12 bytes into a destination of size 10 36 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 37 | __bos (__s), __fmt, __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ABC: `` Compiling: /src/base/abci/abcOdc.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcOdc.c -o src/base/abci/abcOdc.o ABC: `` Compiling: /src/base/abci/abcOrder.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcOrder.c -o src/base/abci/abcOrder.o ABC: `` Compiling: /src/base/abci/abcPart.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcPart.c -o src/base/abci/abcPart.o ABC: `` Compiling: /src/base/abci/abcPrint.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcPrint.c -o src/base/abci/abcPrint.o ABC: `` Compiling: /src/base/abci/abcProve.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcProve.c -o src/base/abci/abcProve.o ABC: `` Compiling: /src/base/abci/abcQbf.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcQbf.c -o src/base/abci/abcQbf.o ABC: `` Compiling: /src/base/abci/abcQuant.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcQuant.c -o src/base/abci/abcQuant.o ABC: `` Compiling: /src/base/abci/abcRec3.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRec3.c -o src/base/abci/abcRec3.o ABC: `` Compiling: /src/base/abci/abcReconv.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReconv.c -o src/base/abci/abcReconv.o ABC: `` Compiling: /src/base/abci/abcReach.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReach.c -o src/base/abci/abcReach.o ABC: `` Compiling: /src/base/abci/abcRefactor.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRefactor.c -o src/base/abci/abcRefactor.o ABC: `` Compiling: /src/base/abci/abcRenode.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRenode.c -o src/base/abci/abcRenode.o ABC: `` Compiling: /src/base/abci/abcReorder.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcReorder.c -o src/base/abci/abcReorder.o ABC: `` Compiling: /src/base/abci/abcRestruct.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRestruct.c -o src/base/abci/abcRestruct.o ABC: `` Compiling: /src/base/abci/abcResub.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcResub.c -o src/base/abci/abcResub.o ABC: `` Compiling: /src/base/abci/abcRewrite.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRewrite.c -o src/base/abci/abcRewrite.o ABC: `` Compiling: /src/base/abci/abcRpo.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRpo.c -o src/base/abci/abcRpo.o ABC: `` Compiling: /src/base/abci/abcRr.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRr.c -o src/base/abci/abcRr.o ABC: `` Compiling: /src/base/abci/abcRunGen.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcRunGen.c -o src/base/abci/abcRunGen.o ABC: `` Compiling: /src/base/abci/abcSat.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSat.c -o src/base/abci/abcSat.o ABC: `` Compiling: /src/base/abci/abcSaucy.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSaucy.c -o src/base/abci/abcSaucy.o ABC: `` Compiling: /src/base/abci/abcScorr.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcScorr.c -o src/base/abci/abcScorr.o ABC: `` Compiling: /src/base/abci/abcSense.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSense.c -o src/base/abci/abcSense.o ABC: `` Compiling: /src/base/abci/abcSpeedup.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSpeedup.c -o src/base/abci/abcSpeedup.o ABC: `` Compiling: /src/base/abci/abcStrash.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcStrash.c -o src/base/abci/abcStrash.o ABC: `` Compiling: /src/base/abci/abcSweep.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSweep.c -o src/base/abci/abcSweep.o ABC: `` Compiling: /src/base/abci/abcSymm.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcSymm.c -o src/base/abci/abcSymm.o ABC: `` Compiling: /src/base/abci/abcTim.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcTim.c -o src/base/abci/abcTim.o ABC: `` Compiling: /src/base/abci/abcTiming.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcTiming.c -o src/base/abci/abcTiming.o ABC: `` Compiling: /src/base/abci/abcUnate.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcUnate.c -o src/base/abci/abcUnate.o ABC: `` Compiling: /src/base/abci/abcUnreach.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcUnreach.c -o src/base/abci/abcUnreach.o ABC: `` Compiling: /src/base/abci/abcVerify.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcVerify.c -o src/base/abci/abcVerify.o ABC: `` Compiling: /src/base/abci/abcXsim.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/abci/abcXsim.c -o src/base/abci/abcXsim.o ABC: `` Compiling: /src/base/cmd/cmd.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmd.c -o src/base/cmd/cmd.o ABC: `` Compiling: /src/base/cmd/cmdAlias.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdAlias.c -o src/base/cmd/cmdAlias.o ABC: `` Compiling: /src/base/cmd/cmdApi.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdApi.c -o src/base/cmd/cmdApi.o ABC: `` Compiling: /src/base/cmd/cmdAuto.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdAuto.c -o src/base/cmd/cmdAuto.o ABC: `` Compiling: /src/base/cmd/cmdFlag.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdFlag.c -o src/base/cmd/cmdFlag.o ABC: `` Compiling: /src/base/cmd/cmdHist.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdHist.c -o src/base/cmd/cmdHist.o ABC: `` Compiling: /src/base/cmd/cmdLoad.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdLoad.c -o src/base/cmd/cmdLoad.o ABC: `` Compiling: /src/base/cmd/cmdPlugin.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdPlugin.c -o src/base/cmd/cmdPlugin.o ABC: `` Compiling: /src/base/cmd/cmdStarter.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdStarter.c -o src/base/cmd/cmdStarter.o ABC: `` Compiling: /src/base/cmd/cmdUtils.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cmd/cmdUtils.c -o src/base/cmd/cmdUtils.o ABC: `` Compiling: /src/base/io/io.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/io.c -o src/base/io/io.o ABC: `` Compiling: /src/base/io/ioJson.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioJson.c -o src/base/io/ioJson.o ABC: `` Compiling: /src/base/io/ioReadAiger.c ABC: `` Compiling: /src/base/io/ioReadBaf.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadAiger.c -o src/base/io/ioReadAiger.o gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBaf.c -o src/base/io/ioReadBaf.o ABC: `` Compiling: /src/base/io/ioReadBblif.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBblif.c -o src/base/io/ioReadBblif.o ABC: `` Compiling: /src/base/io/ioReadBench.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBench.c -o src/base/io/ioReadBench.o ABC: `` Compiling: /src/base/io/ioReadBlif.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBlif.c -o src/base/io/ioReadBlif.o ABC: `` Compiling: /src/base/io/ioReadBlifAig.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBlifAig.c -o src/base/io/ioReadBlifAig.o ABC: `` Compiling: /src/base/io/ioReadBlifMv.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadBlifMv.c -o src/base/io/ioReadBlifMv.o ABC: `` Compiling: /src/base/io/ioReadDsd.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadDsd.c -o src/base/io/ioReadDsd.o ABC: `` Compiling: /src/base/io/ioReadEdif.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadEdif.c -o src/base/io/ioReadEdif.o ABC: `` Compiling: /src/base/io/ioReadEqn.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadEqn.c -o src/base/io/ioReadEqn.o src/base/io/ioReadBlifMv.c: In function ‘Io_MvParseLiteralMv.isra.0’: src/base/io/ioReadBlifMv.c:1718:29: warning: ‘__builtin___sprintf_chk’ may write a terminating nul past the end of the destination [-Wformat-overflow=] 1718 | sprintf( Buffer, "%d", i ); | ^ In file included from /usr/include/stdio.h:867, from ./src/misc/zlib/zlib.h:34, from src/base/io/ioReadBlifMv.c:21: /usr/include/x86_64-linux-gnu/bits/stdio2.h:36:10: note: ‘__builtin___sprintf_chk’ output between 2 and 11 bytes into a destination of size 10 36 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 37 | __bos (__s), __fmt, __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ src/base/io/ioReadBlifMv.c:1678:29: warning: ‘__builtin___sprintf_chk’ may write a terminating nul past the end of the destination [-Wformat-overflow=] 1678 | sprintf( Buffer, "%d", i ); | ^ In file included from /usr/include/stdio.h:867, from ./src/misc/zlib/zlib.h:34, from src/base/io/ioReadBlifMv.c:21: /usr/include/x86_64-linux-gnu/bits/stdio2.h:36:10: note: ‘__builtin___sprintf_chk’ output between 2 and 11 bytes into a destination of size 10 36 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 37 | __bos (__s), __fmt, __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ABC: `` Compiling: /src/base/io/ioReadPla.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadPla.c -o src/base/io/ioReadPla.o ABC: `` Compiling: /src/base/io/ioReadPlaMo.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadPlaMo.c -o src/base/io/ioReadPlaMo.o ABC: `` Compiling: /src/base/io/ioReadVerilog.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioReadVerilog.c -o src/base/io/ioReadVerilog.o ABC: `` Compiling: /src/base/io/ioUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioUtil.c -o src/base/io/ioUtil.o src/base/io/ioReadPla.c: In function ‘Io_ReadPla’: src/base/io/ioReadPla.c:451:40: warning: ‘%0*d’ directive writing between 1 and 2147483647 bytes into a region of size 99 [-Wformat-overflow=] 451 | sprintf( Buffer, "x%0*d", nDigits, i ); | ^~~~ src/base/io/ioReadPla.c:451:38: note: directive argument in the range [0, 2147483646] 451 | sprintf( Buffer, "x%0*d", nDigits, i ); | ^~~~~~~ In file included from /usr/include/stdio.h:867, from ./src/base/abc/abc.h:29, from src/base/io/ioAbc.h:29, from src/base/io/ioReadPla.c:21: /usr/include/x86_64-linux-gnu/bits/stdio2.h:36:10: note: ‘__builtin___sprintf_chk’ output between 3 and 2147483649 bytes into a destination of size 100 36 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 37 | __bos (__s), __fmt, __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ src/base/io/ioReadPla.c:468:40: warning: ‘%0*d’ directive writing between 1 and 2147483647 bytes into a region of size 99 [-Wformat-overflow=] 468 | sprintf( Buffer, "z%0*d", nDigits, i ); | ^~~~ src/base/io/ioReadPla.c:468:38: note: directive argument in the range [0, 2147483646] 468 | sprintf( Buffer, "z%0*d", nDigits, i ); | ^~~~~~~ In file included from /usr/include/stdio.h:867, from ./src/base/abc/abc.h:29, from src/base/io/ioAbc.h:29, from src/base/io/ioReadPla.c:21: /usr/include/x86_64-linux-gnu/bits/stdio2.h:36:10: note: ‘__builtin___sprintf_chk’ output between 3 and 2147483649 bytes into a destination of size 100 36 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 37 | __bos (__s), __fmt, __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ABC: `` Compiling: /src/base/io/ioWriteAiger.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteAiger.c -o src/base/io/ioWriteAiger.o ABC: `` Compiling: /src/base/io/ioWriteBaf.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBaf.c -o src/base/io/ioWriteBaf.o ABC: `` Compiling: /src/base/io/ioWriteBblif.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBblif.c -o src/base/io/ioWriteBblif.o ABC: `` Compiling: /src/base/io/ioWriteBench.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBench.c -o src/base/io/ioWriteBench.o ABC: `` Compiling: /src/base/io/ioWriteBlif.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBlif.c -o src/base/io/ioWriteBlif.o ABC: `` Compiling: /src/base/io/ioWriteBlifMv.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBlifMv.c -o src/base/io/ioWriteBlifMv.o ABC: `` Compiling: /src/base/io/ioWriteBook.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteBook.c -o src/base/io/ioWriteBook.o ABC: `` Compiling: /src/base/io/ioWriteCnf.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteCnf.c -o src/base/io/ioWriteCnf.o ABC: `` Compiling: /src/base/io/ioWriteDot.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteDot.c -o src/base/io/ioWriteDot.o ABC: `` Compiling: /src/base/io/ioWriteEqn.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteEqn.c -o src/base/io/ioWriteEqn.o ABC: `` Compiling: /src/base/io/ioWriteGml.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteGml.c -o src/base/io/ioWriteGml.o ABC: `` Compiling: /src/base/io/ioWriteList.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteList.c -o src/base/io/ioWriteList.o ABC: `` Compiling: /src/base/io/ioWritePla.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWritePla.c -o src/base/io/ioWritePla.o ABC: `` Compiling: /src/base/io/ioWriteVerilog.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteVerilog.c -o src/base/io/ioWriteVerilog.o ABC: `` Compiling: /src/base/io/ioWriteSmv.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/io/ioWriteSmv.c -o src/base/io/ioWriteSmv.o ABC: `` Compiling: /src/base/main/main.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/main.c -o src/base/main/main.o ABC: `` Compiling: /src/base/main/mainFrame.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainFrame.c -o src/base/main/mainFrame.o ABC: `` Compiling: /src/base/main/mainInit.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainInit.c -o src/base/main/mainInit.o ABC: `` Compiling: /src/base/main/mainLib.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainLib.c -o src/base/main/mainLib.o ABC: `` Compiling: /src/base/main/mainReal.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainReal.c -o src/base/main/mainReal.o ABC: `` Compiling: /src/base/main/libSupport.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/libSupport.c -o src/base/main/libSupport.o ABC: `` Compiling: /src/base/main/mainUtils.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/main/mainUtils.c -o src/base/main/mainUtils.o ABC: `` Compiling: /src/base/exor/exor.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exor.c -o src/base/exor/exor.o ABC: `` Compiling: /src/base/exor/exorBits.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorBits.c -o src/base/exor/exorBits.o ABC: `` Compiling: /src/base/exor/exorCubes.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorCubes.c -o src/base/exor/exorCubes.o ABC: `` Compiling: /src/base/exor/exorLink.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorLink.c -o src/base/exor/exorLink.o ABC: `` Compiling: /src/base/exor/exorList.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorList.c -o src/base/exor/exorList.o ABC: `` Compiling: /src/base/exor/exorUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/exor/exorUtil.c -o src/base/exor/exorUtil.o ABC: `` Compiling: /src/base/ver/verCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verCore.c -o src/base/ver/verCore.o ABC: `` Compiling: /src/base/ver/verFormula.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verFormula.c -o src/base/ver/verFormula.o ABC: `` Compiling: /src/base/ver/verParse.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verParse.c -o src/base/ver/verParse.o ABC: `` Compiling: /src/base/ver/verStream.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/ver/verStream.c -o src/base/ver/verStream.o ABC: `` Compiling: /src/base/wlc/wlcAbs.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbs.c -o src/base/wlc/wlcAbs.o ABC: `` Compiling: /src/base/wlc/wlcAbs2.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbs2.c -o src/base/wlc/wlcAbs2.o ABC: `` Compiling: /src/base/wlc/wlcAbc.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcAbc.c -o src/base/wlc/wlcAbc.o ABC: `` Compiling: /src/base/wlc/wlcPth.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcPth.c -o src/base/wlc/wlcPth.o ABC: `` Compiling: /src/base/wlc/wlcBlast.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcBlast.c -o src/base/wlc/wlcBlast.o ABC: `` Compiling: /src/base/wlc/wlcCom.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcCom.c -o src/base/wlc/wlcCom.o ABC: `` Compiling: /src/base/wlc/wlcGraft.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcGraft.c -o src/base/wlc/wlcGraft.o ABC: `` Compiling: /src/base/wlc/wlcJson.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcJson.c -o src/base/wlc/wlcJson.o ABC: `` Compiling: /src/base/wlc/wlcMem.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcMem.c -o src/base/wlc/wlcMem.o ABC: `` Compiling: /src/base/wlc/wlcNdr.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcNdr.c -o src/base/wlc/wlcNdr.o ABC: `` Compiling: /src/base/wlc/wlcNtk.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcNtk.c -o src/base/wlc/wlcNtk.o In file included from /usr/include/stdio.h:867, from ./src/aig/gia/gia.h:29, from src/base/wlc/wlc.h:29, from src/base/wlc/wlcNdr.c:21: In function ‘printf’, inlined from ‘Ndr_WriteVerilog’ at ./src/aig/miniaig/ndr.h:492:28, inlined from ‘Wlc_NtkToNdrTest’ at src/base/wlc/wlcNdr.c:266:5: /usr/include/x86_64-linux-gnu/bits/stdio2.h:107:10: warning: ‘%s’ directive argument is null [-Wformat-overflow=] 107 | return __printf_chk (__USE_FORTIFY_LEVEL - 1, __fmt, __va_arg_pack ()); | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ src/base/wlc/wlcNdr.c: In function ‘Wlc_NtkFromNdr’: src/base/wlc/wlcNdr.c:481:43: warning: ‘%0*d’ directive writing between 1 and 2147483647 bytes into a region of size 19 [-Wformat-overflow=] 481 | char pName[20]; sprintf( pName, "s%0*d", nDigits, i ); | ^~~~ src/base/wlc/wlcNdr.c:481:41: note: directive argument in the range [1, 2147483647] 481 | char pName[20]; sprintf( pName, "s%0*d", nDigits, i ); | ^~~~~~~ In file included from /usr/include/stdio.h:867, from ./src/aig/gia/gia.h:29, from src/base/wlc/wlc.h:29, from src/base/wlc/wlcNdr.c:21: /usr/include/x86_64-linux-gnu/bits/stdio2.h:36:10: note: ‘__builtin___sprintf_chk’ output between 3 and 2147483649 bytes into a destination of size 20 36 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 37 | __bos (__s), __fmt, __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ In file included from ./src/misc/vec/vec.h:37, from ./src/aig/gia/gia.h:34, from src/base/wlc/wlc.h:29, from src/base/wlc/wlcNtk.c:22: src/base/wlc/wlcNtk.c: In function ‘Wlc_NtkPrintDistrib’: ./src/misc/vec/vecWrd.h:637:27: warning: ‘Sign’ may be used uninitialized in this function [-Wmaybe-uninitialized] 637 | p->pArray[p->nSize++] = Entry; | ~~~~~~~~~~~~~~~~~~~~~~^~~~~~~ src/base/wlc/wlcNtk.c:514:10: note: ‘Sign’ was declared here 514 | word Sign; | ^~~~ ABC: `` Compiling: /src/base/wlc/wlcReadSmt.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcReadSmt.c -o src/base/wlc/wlcReadSmt.o src/base/wlc/wlcNtk.c: In function ‘Wlc_NtkShortNames’: src/base/wlc/wlcNtk.c:1301:30: warning: ‘%0*d’ directive writing between 1 and 2147483647 bytes into a region of size 98 [-Wformat-overflow=] 1301 | sprintf( pBuffer, "%s%0*d", "fi", nDigits, i - Wlc_NtkPoNum(p) ); | ^~~~ src/base/wlc/wlcNtk.c:1301:27: note: directive argument in the range [-2147483647, 2147483647] 1301 | sprintf( pBuffer, "%s%0*d", "fi", nDigits, i - Wlc_NtkPoNum(p) ); | ^~~~~~~~ In file included from /usr/include/stdio.h:867, from ./src/aig/gia/gia.h:29, from src/base/wlc/wlc.h:29, from src/base/wlc/wlcNtk.c:22: /usr/include/x86_64-linux-gnu/bits/stdio2.h:36:10: note: ‘__builtin___sprintf_chk’ output between 4 and 2147483650 bytes into a destination of size 100 36 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 37 | __bos (__s), __fmt, __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ src/base/wlc/wlcNtk.c:1309:30: warning: ‘%0*d’ directive writing between 1 and 2147483647 bytes into a region of size 98 [-Wformat-overflow=] 1309 | sprintf( pBuffer, "%s%0*d", "fo", nDigits, i - Wlc_NtkPiNum(p) ); | ^~~~ src/base/wlc/wlcNtk.c:1309:27: note: directive argument in the range [-2147483647, 2147483647] 1309 | sprintf( pBuffer, "%s%0*d", "fo", nDigits, i - Wlc_NtkPiNum(p) ); | ^~~~~~~~ In file included from /usr/include/stdio.h:867, from ./src/aig/gia/gia.h:29, from src/base/wlc/wlc.h:29, from src/base/wlc/wlcNtk.c:22: /usr/include/x86_64-linux-gnu/bits/stdio2.h:36:10: note: ‘__builtin___sprintf_chk’ output between 4 and 2147483650 bytes into a destination of size 100 36 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 37 | __bos (__s), __fmt, __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ src/base/wlc/wlcNtk.c:1316:30: warning: ‘%0*d’ directive writing between 1 and 2147483647 bytes into a region of size 98 [-Wformat-overflow=] 1316 | sprintf( pBuffer, "%s%0*d", "po", nDigits, i ); | ^~~~ src/base/wlc/wlcNtk.c:1316:27: note: directive argument in the range [0, 2147483647] 1316 | sprintf( pBuffer, "%s%0*d", "po", nDigits, i ); | ^~~~~~~~ In file included from /usr/include/stdio.h:867, from ./src/aig/gia/gia.h:29, from src/base/wlc/wlc.h:29, from src/base/wlc/wlcNtk.c:22: /usr/include/x86_64-linux-gnu/bits/stdio2.h:36:10: note: ‘__builtin___sprintf_chk’ output between 4 and 2147483650 bytes into a destination of size 100 36 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 37 | __bos (__s), __fmt, __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ src/base/wlc/wlcNtk.c:1323:30: warning: ‘%0*d’ directive writing between 1 and 2147483647 bytes into a region of size 98 [-Wformat-overflow=] 1323 | sprintf( pBuffer, "%s%0*d", "pi", nDigits, i ); | ^~~~ src/base/wlc/wlcNtk.c:1323:27: note: directive argument in the range [0, 2147483647] 1323 | sprintf( pBuffer, "%s%0*d", "pi", nDigits, i ); | ^~~~~~~~ In file included from /usr/include/stdio.h:867, from ./src/aig/gia/gia.h:29, from src/base/wlc/wlc.h:29, from src/base/wlc/wlcNtk.c:22: /usr/include/x86_64-linux-gnu/bits/stdio2.h:36:10: note: ‘__builtin___sprintf_chk’ output between 4 and 2147483650 bytes into a destination of size 100 36 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 37 | __bos (__s), __fmt, __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ src/base/wlc/wlcNtk.c:1332:30: warning: ‘%0*d’ directive writing between 1 and 2147483647 bytes into a region of size 99 [-Wformat-overflow=] 1332 | sprintf( pBuffer, "%s%0*d", "n", nDigits, i ); | ^~~~ src/base/wlc/wlcNtk.c:1332:27: note: directive argument in the range [1, 2147483647] 1332 | sprintf( pBuffer, "%s%0*d", "n", nDigits, i ); | ^~~~~~~~ In file included from /usr/include/stdio.h:867, from ./src/aig/gia/gia.h:29, from src/base/wlc/wlc.h:29, from src/base/wlc/wlcNtk.c:22: /usr/include/x86_64-linux-gnu/bits/stdio2.h:36:10: note: ‘__builtin___sprintf_chk’ output between 3 and 2147483649 bytes into a destination of size 100 36 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 37 | __bos (__s), __fmt, __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ABC: `` Compiling: /src/base/wlc/wlcReadVer.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcReadVer.c -o src/base/wlc/wlcReadVer.o ABC: `` Compiling: /src/base/wlc/wlcSim.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcSim.c -o src/base/wlc/wlcSim.o ABC: `` Compiling: /src/base/wlc/wlcShow.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcShow.c -o src/base/wlc/wlcShow.o ABC: `` Compiling: /src/base/wlc/wlcStdin.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcStdin.c -o src/base/wlc/wlcStdin.o ABC: `` Compiling: /src/base/wlc/wlcUif.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcUif.c -o src/base/wlc/wlcUif.o ABC: `` Compiling: /src/base/wlc/wlcWin.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcWin.c -o src/base/wlc/wlcWin.o ABC: `` Compiling: /src/base/wlc/wlcWriteVer.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wlc/wlcWriteVer.c -o src/base/wlc/wlcWriteVer.o ABC: `` Compiling: /src/base/wln/wln.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wln.c -o src/base/wln/wln.o ABC: `` Compiling: /src/base/wln/wlnMem.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnMem.c -o src/base/wln/wlnMem.o ABC: `` Compiling: /src/base/wln/wlnNdr.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnNdr.c -o src/base/wln/wlnNdr.o ABC: `` Compiling: /src/base/wln/wlnNtk.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnNtk.c -o src/base/wln/wlnNtk.o ABC: `` Compiling: /src/base/wln/wlnObj.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnObj.c -o src/base/wln/wlnObj.o ABC: `` Compiling: /src/base/wln/wlnRetime.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnRetime.c -o src/base/wln/wlnRetime.o In file included from /usr/include/stdio.h:867, from ./src/aig/gia/gia.h:29, from src/base/wln/wln.h:29, from src/base/wln/wlnNdr.c:21: In function ‘printf’, inlined from ‘Ndr_WriteVerilog’ at ./src/aig/miniaig/ndr.h:492:28, inlined from ‘Wln_NtkToNdrTest’ at src/base/wln/wlnNdr.c:99:5: /usr/include/x86_64-linux-gnu/bits/stdio2.h:107:10: warning: ‘%s’ directive argument is null [-Wformat-overflow=] 107 | return __printf_chk (__USE_FORTIFY_LEVEL - 1, __fmt, __va_arg_pack ()); | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ABC: `` Compiling: /src/base/wln/wlnWlc.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnWlc.c -o src/base/wln/wlnWlc.o ABC: `` Compiling: /src/base/wln/wlnWriteVer.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/wln/wlnWriteVer.c -o src/base/wln/wlnWriteVer.o src/base/wln/wlnNdr.c: In function ‘Wln_NtkFromNdr’: src/base/wln/wlnNdr.c:259:43: warning: ‘%0*d’ directive writing between 1 and 2147483647 bytes into a region of size 19 [-Wformat-overflow=] 259 | char pName[20]; sprintf( pName, "s%0*d", nDigits, i ); | ^~~~ src/base/wln/wlnNdr.c:259:41: note: directive argument in the range [1, 2147483647] 259 | char pName[20]; sprintf( pName, "s%0*d", nDigits, i ); | ^~~~~~~ In file included from /usr/include/stdio.h:867, from ./src/aig/gia/gia.h:29, from src/base/wln/wln.h:29, from src/base/wln/wlnNdr.c:21: /usr/include/x86_64-linux-gnu/bits/stdio2.h:36:10: note: ‘__builtin___sprintf_chk’ output between 3 and 2147483649 bytes into a destination of size 20 36 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 37 | __bos (__s), __fmt, __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ABC: `` Compiling: /src/base/acb/acbAbc.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbAbc.c -o src/base/acb/acbAbc.o ABC: `` Compiling: /src/base/acb/acbAig.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbAig.c -o src/base/acb/acbAig.o ABC: `` Compiling: /src/base/acb/acbCom.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbCom.c -o src/base/acb/acbCom.o ABC: `` Compiling: /src/base/acb/acbFunc.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbFunc.c -o src/base/acb/acbFunc.o ABC: `` Compiling: /src/base/acb/acbMfs.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbMfs.c -o src/base/acb/acbMfs.o ABC: `` Compiling: /src/base/acb/acbPush.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbPush.c -o src/base/acb/acbPush.o ABC: `` Compiling: /src/base/acb/acbSets.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbSets.c -o src/base/acb/acbSets.o ABC: `` Compiling: /src/base/acb/acbUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/acb/acbUtil.c -o src/base/acb/acbUtil.o ABC: `` Compiling: /src/base/bac/bacBlast.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacBlast.c -o src/base/bac/bacBlast.o ABC: `` Compiling: /src/base/bac/bacBac.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacBac.c -o src/base/bac/bacBac.o ABC: `` Compiling: /src/base/bac/bacCom.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacCom.c -o src/base/bac/bacCom.o ABC: `` Compiling: /src/base/bac/bacLib.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacLib.c -o src/base/bac/bacLib.o ABC: `` Compiling: /src/base/bac/bacNtk.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacNtk.c -o src/base/bac/bacNtk.o ABC: `` Compiling: /src/base/bac/bacPrsBuild.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPrsBuild.c -o src/base/bac/bacPrsBuild.o ABC: `` Compiling: /src/base/bac/bacPrsTrans.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPrsTrans.c -o src/base/bac/bacPrsTrans.o ABC: `` Compiling: /src/base/bac/bacPtr.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPtr.c -o src/base/bac/bacPtr.o ABC: `` Compiling: /src/base/bac/bacPtrAbc.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacPtrAbc.c -o src/base/bac/bacPtrAbc.o ABC: `` Compiling: /src/base/bac/bacReadBlif.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadBlif.c -o src/base/bac/bacReadBlif.o ABC: `` Compiling: /src/base/bac/bacReadSmt.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadSmt.c -o src/base/bac/bacReadSmt.o ABC: `` Compiling: /src/base/bac/bacReadVer.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacReadVer.c -o src/base/bac/bacReadVer.o ABC: `` Compiling: /src/base/bac/bacWriteBlif.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteBlif.c -o src/base/bac/bacWriteBlif.o ABC: `` Compiling: /src/base/bac/bacWriteSmt.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteSmt.c -o src/base/bac/bacWriteSmt.o ABC: `` Compiling: /src/base/bac/bacWriteVer.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/bac/bacWriteVer.c -o src/base/bac/bacWriteVer.o ABC: `` Compiling: /src/base/cba/cbaBlast.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaBlast.c -o src/base/cba/cbaBlast.o ABC: `` Compiling: /src/base/cba/cbaCba.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaCba.c -o src/base/cba/cbaCba.o ABC: `` Compiling: /src/base/cba/cbaCom.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaCom.c -o src/base/cba/cbaCom.o ABC: `` Compiling: /src/base/cba/cbaNtk.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaNtk.c -o src/base/cba/cbaNtk.o ABC: `` Compiling: /src/base/cba/cbaReadBlif.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaReadBlif.c -o src/base/cba/cbaReadBlif.o ABC: `` Compiling: /src/base/cba/cbaReadVer.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaReadVer.c -o src/base/cba/cbaReadVer.o ABC: `` Compiling: /src/base/cba/cbaWriteBlif.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaWriteBlif.c -o src/base/cba/cbaWriteBlif.o ABC: `` Compiling: /src/base/cba/cbaWriteVer.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/cba/cbaWriteVer.c -o src/base/cba/cbaWriteVer.o ABC: `` Compiling: /src/base/pla/plaCom.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaCom.c -o src/base/pla/plaCom.o ABC: `` Compiling: /src/base/pla/plaHash.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaHash.c -o src/base/pla/plaHash.o ABC: `` Compiling: /src/base/pla/plaMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaMan.c -o src/base/pla/plaMan.o ABC: `` Compiling: /src/base/pla/plaMerge.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaMerge.c -o src/base/pla/plaMerge.o ABC: `` Compiling: /src/base/pla/plaSimple.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaSimple.c -o src/base/pla/plaSimple.o ABC: `` Compiling: /src/base/pla/plaRead.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaRead.c -o src/base/pla/plaRead.o ABC: `` Compiling: /src/base/pla/plaWrite.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/pla/plaWrite.c -o src/base/pla/plaWrite.o ABC: `` Compiling: /src/base/test/test.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/base/test/test.c -o src/base/test/test.o ABC: `` Compiling: /src/map/mapper/mapper.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapper.c -o src/map/mapper/mapper.o ABC: `` Compiling: /src/map/mapper/mapperCanon.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCanon.c -o src/map/mapper/mapperCanon.o ABC: `` Compiling: /src/map/mapper/mapperCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCore.c -o src/map/mapper/mapperCore.o ABC: `` Compiling: /src/map/mapper/mapperCreate.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCreate.c -o src/map/mapper/mapperCreate.o ABC: `` Compiling: /src/map/mapper/mapperCut.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCut.c -o src/map/mapper/mapperCut.o ABC: `` Compiling: /src/map/mapper/mapperCutUtils.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperCutUtils.c -o src/map/mapper/mapperCutUtils.o ABC: `` Compiling: /src/map/mapper/mapperLib.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperLib.c -o src/map/mapper/mapperLib.o In file included from /usr/include/unistd.h:25, from src/map/mapper/mapperLib.c:21: /usr/include/features.h:185:3: warning: #warning "_BSD_SOURCE and _SVID_SOURCE are deprecated, use _DEFAULT_SOURCE" [-Wcpp] 185 | # warning "_BSD_SOURCE and _SVID_SOURCE are deprecated, use _DEFAULT_SOURCE" | ^~~~~~~ ABC: `` Compiling: /src/map/mapper/mapperMatch.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperMatch.c -o src/map/mapper/mapperMatch.o ABC: `` Compiling: /src/map/mapper/mapperRefs.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperRefs.c -o src/map/mapper/mapperRefs.o ABC: `` Compiling: /src/map/mapper/mapperSuper.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperSuper.c -o src/map/mapper/mapperSuper.o ABC: `` Compiling: /src/map/mapper/mapperSwitch.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperSwitch.c -o src/map/mapper/mapperSwitch.o ABC: `` Compiling: /src/map/mapper/mapperTable.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTable.c -o src/map/mapper/mapperTable.o ABC: `` Compiling: /src/map/mapper/mapperTime.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTime.c -o src/map/mapper/mapperTime.o ABC: `` Compiling: /src/map/mapper/mapperTree.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTree.c -o src/map/mapper/mapperTree.o ABC: `` Compiling: /src/map/mapper/mapperTruth.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperTruth.c -o src/map/mapper/mapperTruth.o ABC: `` Compiling: /src/map/mapper/mapperUtils.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperUtils.c -o src/map/mapper/mapperUtils.o ABC: `` Compiling: /src/map/mapper/mapperVec.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mapper/mapperVec.c -o src/map/mapper/mapperVec.o ABC: `` Compiling: /src/map/mio/mio.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mio.c -o src/map/mio/mio.o In file included from /usr/include/unistd.h:25, from src/map/mio/mio.c:22: /usr/include/features.h:185:3: warning: #warning "_BSD_SOURCE and _SVID_SOURCE are deprecated, use _DEFAULT_SOURCE" [-Wcpp] 185 | # warning "_BSD_SOURCE and _SVID_SOURCE are deprecated, use _DEFAULT_SOURCE" | ^~~~~~~ ABC: `` Compiling: /src/map/mio/mioApi.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioApi.c -o src/map/mio/mioApi.o ABC: `` Compiling: /src/map/mio/mioFunc.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioFunc.c -o src/map/mio/mioFunc.o ABC: `` Compiling: /src/map/mio/mioParse.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioParse.c -o src/map/mio/mioParse.o ABC: `` Compiling: /src/map/mio/mioRead.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioRead.c -o src/map/mio/mioRead.o ABC: `` Compiling: /src/map/mio/mioSop.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioSop.c -o src/map/mio/mioSop.o ABC: `` Compiling: /src/map/mio/mioUtils.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mio/mioUtils.c -o src/map/mio/mioUtils.o ABC: `` Compiling: /src/map/super/super.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/super.c -o src/map/super/super.o ABC: `` Compiling: /src/map/super/superAnd.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/superAnd.c -o src/map/super/superAnd.o ABC: `` Compiling: /src/map/super/superGate.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/super/superGate.c -o src/map/super/superGate.o ABC: `` Compiling: /src/map/if/ifCom.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCom.c -o src/map/if/ifCom.o ABC: `` Compiling: /src/map/if/ifCache.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCache.c -o src/map/if/ifCache.o ABC: `` Compiling: /src/map/if/ifCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCore.c -o src/map/if/ifCore.o ABC: `` Compiling: /src/map/if/ifCut.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifCut.c -o src/map/if/ifCut.o src/map/mio/mioUtils.c: In function ‘Mio_LibraryShortNames’: src/map/mio/mioUtils.c:1621:28: warning: ‘%0*d’ directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 1621 | sprintf( Buffer, "g%0*d", nDigits, ++c ); | ^~~~ src/map/mio/mioUtils.c:1621:26: note: directive argument in the range [1, 2147483647] 1621 | sprintf( Buffer, "g%0*d", nDigits, ++c ); | ^~~~~~~ In file included from /usr/include/stdio.h:867, from src/map/mio/mioInt.h:27, from src/map/mio/mioUtils.c:20: /usr/include/x86_64-linux-gnu/bits/stdio2.h:36:10: note: ‘__builtin___sprintf_chk’ output between 3 and 2147483649 bytes into a destination of size 10000 36 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 37 | __bos (__s), __fmt, __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ABC: `` Compiling: /src/map/if/ifData2.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifData2.c -o src/map/if/ifData2.o ABC: `` Compiling: /src/map/if/ifDec07.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec07.c -o src/map/if/ifDec07.o ABC: `` Compiling: /src/map/if/ifDec08.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec08.c -o src/map/if/ifDec08.o ABC: `` Compiling: /src/map/if/ifDec10.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec10.c -o src/map/if/ifDec10.o ABC: `` Compiling: /src/map/if/ifDec16.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec16.c -o src/map/if/ifDec16.o ABC: `` Compiling: /src/map/if/ifDec75.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDec75.c -o src/map/if/ifDec75.o ABC: `` Compiling: /src/map/if/ifDelay.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDelay.c -o src/map/if/ifDelay.o ABC: `` Compiling: /src/map/if/ifDsd.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifDsd.c -o src/map/if/ifDsd.o ABC: `` Compiling: /src/map/if/ifLibBox.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifLibBox.c -o src/map/if/ifLibBox.o ABC: `` Compiling: /src/map/if/ifLibLut.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifLibLut.c -o src/map/if/ifLibLut.o ABC: `` Compiling: /src/map/if/ifMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMan.c -o src/map/if/ifMan.o ABC: `` Compiling: /src/map/if/ifMap.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMap.c -o src/map/if/ifMap.o ABC: `` Compiling: /src/map/if/ifMatch2.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifMatch2.c -o src/map/if/ifMatch2.o ABC: `` Compiling: /src/map/if/ifReduce.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifReduce.c -o src/map/if/ifReduce.o ABC: `` Compiling: /src/map/if/ifSat.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifSat.c -o src/map/if/ifSat.o ABC: `` Compiling: /src/map/if/ifSelect.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifSelect.c -o src/map/if/ifSelect.o ABC: `` Compiling: /src/map/if/ifSeq.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifSeq.c -o src/map/if/ifSeq.o ABC: `` Compiling: /src/map/if/ifTest.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTest.c -o src/map/if/ifTest.o ABC: `` Compiling: /src/map/if/ifTime.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTime.c -o src/map/if/ifTime.o ABC: `` Compiling: /src/map/if/ifTruth.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTruth.c -o src/map/if/ifTruth.o ABC: `` Compiling: /src/map/if/ifTune.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifTune.c -o src/map/if/ifTune.o ABC: `` Compiling: /src/map/if/ifUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/if/ifUtil.c -o src/map/if/ifUtil.o ABC: `` Compiling: /src/map/amap/amapCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapCore.c -o src/map/amap/amapCore.o ABC: `` Compiling: /src/map/amap/amapGraph.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapGraph.c -o src/map/amap/amapGraph.o ABC: `` Compiling: /src/map/amap/amapLib.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapLib.c -o src/map/amap/amapLib.o ABC: `` Compiling: /src/map/amap/amapLiberty.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapLiberty.c -o src/map/amap/amapLiberty.o ABC: `` Compiling: /src/map/amap/amapMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMan.c -o src/map/amap/amapMan.o ABC: `` Compiling: /src/map/amap/amapMatch.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMatch.c -o src/map/amap/amapMatch.o ABC: `` Compiling: /src/map/amap/amapMerge.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapMerge.c -o src/map/amap/amapMerge.o ABC: `` Compiling: /src/map/amap/amapOutput.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapOutput.c -o src/map/amap/amapOutput.o ABC: `` Compiling: /src/map/amap/amapParse.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapParse.c -o src/map/amap/amapParse.o ABC: `` Compiling: /src/map/amap/amapPerm.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapPerm.c -o src/map/amap/amapPerm.o ABC: `` Compiling: /src/map/amap/amapRead.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapRead.c -o src/map/amap/amapRead.o ABC: `` Compiling: /src/map/amap/amapRule.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapRule.c -o src/map/amap/amapRule.o ABC: `` Compiling: /src/map/amap/amapUniq.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/amap/amapUniq.c -o src/map/amap/amapUniq.o ABC: `` Compiling: /src/map/cov/covBuild.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covBuild.c -o src/map/cov/covBuild.o ABC: `` Compiling: /src/map/cov/covCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covCore.c -o src/map/cov/covCore.o ABC: `` Compiling: /src/map/cov/covMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMan.c -o src/map/cov/covMan.o ABC: `` Compiling: /src/map/cov/covMinEsop.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinEsop.c -o src/map/cov/covMinEsop.o ABC: `` Compiling: /src/map/cov/covMinMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinMan.c -o src/map/cov/covMinMan.o ABC: `` Compiling: /src/map/cov/covMinSop.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinSop.c -o src/map/cov/covMinSop.o ABC: `` Compiling: /src/map/cov/covMinUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/cov/covMinUtil.c -o src/map/cov/covMinUtil.o ABC: `` Compiling: /src/map/scl/scl.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/scl.c -o src/map/scl/scl.o ABC: `` Compiling: /src/map/scl/sclBuffer.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclBuffer.c -o src/map/scl/sclBuffer.o ABC: `` Compiling: /src/map/scl/sclBufSize.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclBufSize.c -o src/map/scl/sclBufSize.o ABC: `` Compiling: /src/map/scl/sclDnsize.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclDnsize.c -o src/map/scl/sclDnsize.o ABC: `` Compiling: /src/map/scl/sclLiberty.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLiberty.c -o src/map/scl/sclLiberty.o ABC: `` Compiling: /src/map/scl/sclLibScl.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLibScl.c -o src/map/scl/sclLibScl.o ABC: `` Compiling: /src/map/scl/sclLibUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLibUtil.c -o src/map/scl/sclLibUtil.o src/map/scl/sclLibUtil.c: In function ‘Abc_SclShortNames’: src/map/scl/sclLibUtil.c:206:32: warning: ‘%0*d’ directive writing between 1 and 2147483647 bytes into a region of size 9999 [-Wformat-overflow=] 206 | sprintf( Buffer, "g%0*d_%0*d", nDigits, i, nDigits2, k ); | ^~~~ src/map/scl/sclLibUtil.c:206:30: note: directive argument in the range [0, 2147483647] 206 | sprintf( Buffer, "g%0*d_%0*d", nDigits, i, nDigits2, k ); | ^~~~~~~~~~~~ src/map/scl/sclLibUtil.c:206:30: note: directive argument in the range [0, 2147483647] In file included from /usr/include/stdio.h:867, from src/map/scl/sclLib.h:29, from src/map/scl/sclLibUtil.c:21: /usr/include/x86_64-linux-gnu/bits/stdio2.h:36:10: note: ‘__builtin___sprintf_chk’ output between 5 and 4294967297 bytes into a destination of size 10000 36 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 37 | __bos (__s), __fmt, __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ABC: `` Compiling: /src/map/scl/sclLoad.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclLoad.c -o src/map/scl/sclLoad.o ABC: `` Compiling: /src/map/scl/sclSize.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclSize.c -o src/map/scl/sclSize.o ABC: `` Compiling: /src/map/scl/sclUpsize.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclUpsize.c -o src/map/scl/sclUpsize.o ABC: `` Compiling: /src/map/scl/sclUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/scl/sclUtil.c -o src/map/scl/sclUtil.o ABC: `` Compiling: /src/map/mpm/mpmAbc.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmAbc.c -o src/map/mpm/mpmAbc.o ABC: `` Compiling: /src/map/mpm/mpmCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmCore.c -o src/map/mpm/mpmCore.o ABC: `` Compiling: /src/map/mpm/mpmDsd.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmDsd.c -o src/map/mpm/mpmDsd.o ABC: `` Compiling: /src/map/mpm/mpmGates.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmGates.c -o src/map/mpm/mpmGates.o ABC: `` Compiling: /src/map/mpm/mpmLib.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmLib.c -o src/map/mpm/mpmLib.o ABC: `` Compiling: /src/map/mpm/mpmMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmMan.c -o src/map/mpm/mpmMan.o ABC: `` Compiling: /src/map/mpm/mpmMap.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmMap.c -o src/map/mpm/mpmMap.o ABC: `` Compiling: /src/map/mpm/mpmMig.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmMig.c -o src/map/mpm/mpmMig.o ABC: `` Compiling: /src/map/mpm/mpmPre.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmPre.c -o src/map/mpm/mpmPre.o ABC: `` Compiling: /src/map/mpm/mpmTruth.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmTruth.c -o src/map/mpm/mpmTruth.o ABC: `` Compiling: /src/map/mpm/mpmUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/map/mpm/mpmUtil.c -o src/map/mpm/mpmUtil.o ABC: `` Compiling: /src/misc/extra/extraUtilBitMatrix.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilBitMatrix.c -o src/misc/extra/extraUtilBitMatrix.o ABC: `` Compiling: /src/misc/extra/extraUtilCanon.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCanon.c -o src/misc/extra/extraUtilCanon.o ABC: `` Compiling: /src/misc/extra/extraUtilCfs.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCfs.c -o src/misc/extra/extraUtilCfs.o ABC: `` Compiling: /src/misc/extra/extraUtilCube.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilCube.c -o src/misc/extra/extraUtilCube.o ABC: `` Compiling: /src/misc/extra/extraUtilDsd.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilDsd.c -o src/misc/extra/extraUtilDsd.o ABC: `` Compiling: /src/misc/extra/extraUtilEnum.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilEnum.c -o src/misc/extra/extraUtilEnum.o ABC: `` Compiling: /src/misc/extra/extraUtilFile.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilFile.c -o src/misc/extra/extraUtilFile.o ABC: `` Compiling: /src/misc/extra/extraUtilGen.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilGen.c -o src/misc/extra/extraUtilGen.o ABC: `` Compiling: /src/misc/extra/extraUtilMacc.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMacc.c -o src/misc/extra/extraUtilMacc.o ABC: `` Compiling: /src/misc/extra/extraUtilMaj.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMaj.c -o src/misc/extra/extraUtilMaj.o ABC: `` Compiling: /src/misc/extra/extraUtilMemory.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMemory.c -o src/misc/extra/extraUtilMemory.o ABC: `` Compiling: /src/misc/extra/extraUtilMisc.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMisc.c -o src/misc/extra/extraUtilMisc.o ABC: `` Compiling: /src/misc/extra/extraUtilMult.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilMult.c -o src/misc/extra/extraUtilMult.o ABC: `` Compiling: /src/misc/extra/extraUtilPath.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilPath.c -o src/misc/extra/extraUtilPath.o ABC: `` Compiling: /src/misc/extra/extraUtilPerm.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilPerm.c -o src/misc/extra/extraUtilPerm.o ABC: `` Compiling: /src/misc/extra/extraUtilProgress.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilProgress.c -o src/misc/extra/extraUtilProgress.o ABC: `` Compiling: /src/misc/extra/extraUtilReader.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilReader.c -o src/misc/extra/extraUtilReader.o ABC: `` Compiling: /src/misc/extra/extraUtilSupp.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilSupp.c -o src/misc/extra/extraUtilSupp.o ABC: `` Compiling: /src/misc/extra/extraUtilTruth.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilTruth.c -o src/misc/extra/extraUtilTruth.o ABC: `` Compiling: /src/misc/extra/extraUtilUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/extra/extraUtilUtil.c -o src/misc/extra/extraUtilUtil.o ABC: `` Compiling: /src/misc/mvc/mvcApi.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcApi.c -o src/misc/mvc/mvcApi.o ABC: `` Compiling: /src/misc/mvc/mvcCompare.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCompare.c -o src/misc/mvc/mvcCompare.o ABC: `` Compiling: /src/misc/mvc/mvcContain.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcContain.c -o src/misc/mvc/mvcContain.o ABC: `` Compiling: /src/misc/mvc/mvcCover.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCover.c -o src/misc/mvc/mvcCover.o ABC: `` Compiling: /src/misc/mvc/mvcCube.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcCube.c -o src/misc/mvc/mvcCube.o ABC: `` Compiling: /src/misc/mvc/mvcDivide.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcDivide.c -o src/misc/mvc/mvcDivide.o ABC: `` Compiling: /src/misc/mvc/mvcDivisor.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcDivisor.c -o src/misc/mvc/mvcDivisor.o ABC: `` Compiling: /src/misc/mvc/mvcList.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcList.c -o src/misc/mvc/mvcList.o ABC: `` Compiling: /src/misc/mvc/mvcLits.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcLits.c -o src/misc/mvc/mvcLits.o ABC: `` Compiling: /src/misc/mvc/mvcMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcMan.c -o src/misc/mvc/mvcMan.o ABC: `` Compiling: /src/misc/mvc/mvcOpAlg.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcOpAlg.c -o src/misc/mvc/mvcOpAlg.o ABC: `` Compiling: /src/misc/mvc/mvcOpBool.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcOpBool.c -o src/misc/mvc/mvcOpBool.o ABC: `` Compiling: /src/misc/mvc/mvcPrint.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcPrint.c -o src/misc/mvc/mvcPrint.o ABC: `` Compiling: /src/misc/mvc/mvcSort.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcSort.c -o src/misc/mvc/mvcSort.o ABC: `` Compiling: /src/misc/mvc/mvcUtils.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mvc/mvcUtils.c -o src/misc/mvc/mvcUtils.o ABC: `` Compiling: /src/misc/st/st.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/st/st.c -o src/misc/st/st.o ABC: `` Compiling: /src/misc/st/stmm.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/st/stmm.c -o src/misc/st/stmm.o ABC: `` Compiling: /src/misc/util/utilBridge.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilBridge.c -o src/misc/util/utilBridge.o ABC: `` Compiling: /src/misc/util/utilCex.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilCex.c -o src/misc/util/utilCex.o ABC: `` Compiling: /src/misc/util/utilColor.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilColor.c -o src/misc/util/utilColor.o ABC: `` Compiling: /src/misc/util/utilFile.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilFile.c -o src/misc/util/utilFile.o ABC: `` Compiling: /src/misc/util/utilIsop.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilIsop.c -o src/misc/util/utilIsop.o ABC: `` Compiling: /src/misc/util/utilNam.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilNam.c -o src/misc/util/utilNam.o ABC: `` Compiling: /src/misc/util/utilSignal.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilSignal.c -o src/misc/util/utilSignal.o ABC: `` Compiling: /src/misc/util/utilSort.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/util/utilSort.c -o src/misc/util/utilSort.o ABC: `` Compiling: /src/misc/nm/nmApi.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/nm/nmApi.c -o src/misc/nm/nmApi.o ABC: `` Compiling: /src/misc/nm/nmTable.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/nm/nmTable.c -o src/misc/nm/nmTable.o ABC: `` Compiling: /src/misc/tim/timBox.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timBox.c -o src/misc/tim/timBox.o ABC: `` Compiling: /src/misc/tim/timDump.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timDump.c -o src/misc/tim/timDump.o ABC: `` Compiling: /src/misc/tim/timMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timMan.c -o src/misc/tim/timMan.o ABC: `` Compiling: /src/misc/tim/timTime.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timTime.c -o src/misc/tim/timTime.o ABC: `` Compiling: /src/misc/tim/timTrav.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/tim/timTrav.c -o src/misc/tim/timTrav.o ABC: `` Compiling: /src/misc/mem/mem.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/mem/mem.c -o src/misc/mem/mem.o ABC: `` Compiling: /src/misc/bar/bar.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bar/bar.c -o src/misc/bar/bar.o ABC: `` Compiling: /src/misc/bbl/bblif.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/bbl/bblif.c -o src/misc/bbl/bblif.o ABC: `` Compiling: /src/misc/parse/parseEqn.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/parse/parseEqn.c -o src/misc/parse/parseEqn.o ABC: `` Compiling: /src/misc/parse/parseStack.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/misc/parse/parseStack.c -o src/misc/parse/parseStack.o ABC: `` Compiling: /src/opt/cut/cutApi.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutApi.c -o src/opt/cut/cutApi.o ABC: `` Compiling: /src/opt/cut/cutCut.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutCut.c -o src/opt/cut/cutCut.o ABC: `` Compiling: /src/opt/cut/cutMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutMan.c -o src/opt/cut/cutMan.o ABC: `` Compiling: /src/opt/cut/cutMerge.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutMerge.c -o src/opt/cut/cutMerge.o ABC: `` Compiling: /src/opt/cut/cutNode.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutNode.c -o src/opt/cut/cutNode.o ABC: `` Compiling: /src/opt/cut/cutOracle.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutOracle.c -o src/opt/cut/cutOracle.o ABC: `` Compiling: /src/opt/cut/cutPre22.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutPre22.c -o src/opt/cut/cutPre22.o ABC: `` Compiling: /src/opt/cut/cutSeq.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutSeq.c -o src/opt/cut/cutSeq.o ABC: `` Compiling: /src/opt/cut/cutTruth.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cut/cutTruth.c -o src/opt/cut/cutTruth.o ABC: `` Compiling: /src/opt/fxu/fxu.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxu.c -o src/opt/fxu/fxu.o ABC: `` Compiling: /src/opt/fxu/fxuCreate.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuCreate.c -o src/opt/fxu/fxuCreate.o ABC: `` Compiling: /src/opt/fxu/fxuHeapD.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuHeapD.c -o src/opt/fxu/fxuHeapD.o ABC: `` Compiling: /src/opt/fxu/fxuHeapS.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuHeapS.c -o src/opt/fxu/fxuHeapS.o ABC: `` Compiling: /src/opt/fxu/fxuList.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuList.c -o src/opt/fxu/fxuList.o ABC: `` Compiling: /src/opt/fxu/fxuMatrix.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuMatrix.c -o src/opt/fxu/fxuMatrix.o ABC: `` Compiling: /src/opt/fxu/fxuPair.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuPair.c -o src/opt/fxu/fxuPair.o ABC: `` Compiling: /src/opt/fxu/fxuPrint.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuPrint.c -o src/opt/fxu/fxuPrint.o ABC: `` Compiling: /src/opt/fxu/fxuReduce.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuReduce.c -o src/opt/fxu/fxuReduce.o ABC: `` Compiling: /src/opt/fxu/fxuSelect.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuSelect.c -o src/opt/fxu/fxuSelect.o ABC: `` Compiling: /src/opt/fxu/fxuSingle.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuSingle.c -o src/opt/fxu/fxuSingle.o ABC: `` Compiling: /src/opt/fxu/fxuUpdate.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxu/fxuUpdate.c -o src/opt/fxu/fxuUpdate.o ABC: `` Compiling: /src/opt/fxch/Fxch.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/Fxch.c -o src/opt/fxch/Fxch.o ABC: `` Compiling: /src/opt/fxch/FxchDiv.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchDiv.c -o src/opt/fxch/FxchDiv.o ABC: `` Compiling: /src/opt/fxch/FxchMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchMan.c -o src/opt/fxch/FxchMan.o ABC: `` Compiling: /src/opt/fxch/FxchSCHashTable.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fxch/FxchSCHashTable.c -o src/opt/fxch/FxchSCHashTable.o ABC: `` Compiling: /src/opt/rwr/rwrDec.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrDec.c -o src/opt/rwr/rwrDec.o ABC: `` Compiling: /src/opt/rwr/rwrEva.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrEva.c -o src/opt/rwr/rwrEva.o ABC: `` Compiling: /src/opt/rwr/rwrExp.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrExp.c -o src/opt/rwr/rwrExp.o ABC: `` Compiling: /src/opt/rwr/rwrLib.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrLib.c -o src/opt/rwr/rwrLib.o ABC: `` Compiling: /src/opt/rwr/rwrMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrMan.c -o src/opt/rwr/rwrMan.o ABC: `` Compiling: /src/opt/rwr/rwrPrint.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrPrint.c -o src/opt/rwr/rwrPrint.o ABC: `` Compiling: /src/opt/rwr/rwrUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwr/rwrUtil.c -o src/opt/rwr/rwrUtil.o ABC: `` Compiling: /src/opt/mfs/mfsCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsCore.c -o src/opt/mfs/mfsCore.o ABC: `` Compiling: /src/opt/mfs/mfsDiv.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsDiv.c -o src/opt/mfs/mfsDiv.o ABC: `` Compiling: /src/opt/mfs/mfsInter.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsInter.c -o src/opt/mfs/mfsInter.o ABC: `` Compiling: /src/opt/mfs/mfsMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsMan.c -o src/opt/mfs/mfsMan.o ABC: `` Compiling: /src/opt/mfs/mfsResub.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsResub.c -o src/opt/mfs/mfsResub.o ABC: `` Compiling: /src/opt/mfs/mfsSat.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsSat.c -o src/opt/mfs/mfsSat.o ABC: `` Compiling: /src/opt/mfs/mfsStrash.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsStrash.c -o src/opt/mfs/mfsStrash.o ABC: `` Compiling: /src/opt/mfs/mfsWin.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/mfs/mfsWin.c -o src/opt/mfs/mfsWin.o ABC: `` Compiling: /src/opt/sim/simMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simMan.c -o src/opt/sim/simMan.o ABC: `` Compiling: /src/opt/sim/simSeq.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSeq.c -o src/opt/sim/simSeq.o ABC: `` Compiling: /src/opt/sim/simSupp.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSupp.c -o src/opt/sim/simSupp.o ABC: `` Compiling: /src/opt/sim/simSwitch.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSwitch.c -o src/opt/sim/simSwitch.o ABC: `` Compiling: /src/opt/sim/simSym.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSym.c -o src/opt/sim/simSym.o ABC: `` Compiling: /src/opt/sim/simSymSat.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymSat.c -o src/opt/sim/simSymSat.o ABC: `` Compiling: /src/opt/sim/simSymSim.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymSim.c -o src/opt/sim/simSymSim.o ABC: `` Compiling: /src/opt/sim/simSymStr.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simSymStr.c -o src/opt/sim/simSymStr.o ABC: `` Compiling: /src/opt/sim/simUtils.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sim/simUtils.c -o src/opt/sim/simUtils.o ABC: `` Compiling: /src/opt/ret/retArea.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retArea.c -o src/opt/ret/retArea.o ABC: `` Compiling: /src/opt/ret/retCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retCore.c -o src/opt/ret/retCore.o ABC: `` Compiling: /src/opt/ret/retDelay.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retDelay.c -o src/opt/ret/retDelay.o ABC: `` Compiling: /src/opt/ret/retFlow.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retFlow.c -o src/opt/ret/retFlow.o ABC: `` Compiling: /src/opt/ret/retIncrem.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retIncrem.c -o src/opt/ret/retIncrem.o ABC: `` Compiling: /src/opt/ret/retInit.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retInit.c -o src/opt/ret/retInit.o ABC: `` Compiling: /src/opt/ret/retLvalue.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/ret/retLvalue.c -o src/opt/ret/retLvalue.o ABC: `` Compiling: /src/opt/fret/fretMain.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretMain.c -o src/opt/fret/fretMain.o ABC: `` Compiling: /src/opt/fret/fretFlow.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretFlow.c -o src/opt/fret/fretFlow.o ABC: `` Compiling: /src/opt/fret/fretInit.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretInit.c -o src/opt/fret/fretInit.o ABC: `` Compiling: /src/opt/fret/fretTime.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/fret/fretTime.c -o src/opt/fret/fretTime.o ABC: `` Compiling: /src/opt/res/resCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resCore.c -o src/opt/res/resCore.o ABC: `` Compiling: /src/opt/res/resDivs.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resDivs.c -o src/opt/res/resDivs.o In file included from ./src/misc/vec/vec.h:29, from ./src/base/abc/abc.h:34, from src/opt/res/resCore.c:21: In function ‘Vec_VecAlloc’, inlined from ‘Vec_VecStart’ at ./src/misc/vec/vecVec.h:172:9, inlined from ‘Res_ManAlloc’ at src/opt/res/resCore.c:109:19: ./src/misc/util/abc_global.h:259:44: warning: argument 1 range [18446744056529682432, 18446744073709551608] exceeds maximum object size 9223372036854775807 [-Walloc-size-larger-than=] 259 | #define ABC_ALLOC(type, num) ((type *) malloc(sizeof(type) * (size_t)(num))) | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ./src/misc/vec/vecVec.h:153:26: note: in expansion of macro ‘ABC_ALLOC’ 153 | p->pArray = p->nCap? ABC_ALLOC( void *, p->nCap ) : NULL; | ^~~~~~~~~ In file included from ./src/base/abc/abc.h:30, from src/opt/res/resCore.c:21: ./src/misc/vec/vecVec.h: In function ‘Res_ManAlloc’: /usr/include/stdlib.h:539:14: note: in a call to allocation function ‘malloc’ declared here 539 | extern void *malloc (size_t __size) __THROW __attribute_malloc__ __wur; | ^~~~~~ ABC: `` Compiling: /src/opt/res/resFilter.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resFilter.c -o src/opt/res/resFilter.o ABC: `` Compiling: /src/opt/res/resSat.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resSat.c -o src/opt/res/resSat.o ABC: `` Compiling: /src/opt/res/resSim.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resSim.c -o src/opt/res/resSim.o ABC: `` Compiling: /src/opt/res/resStrash.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resStrash.c -o src/opt/res/resStrash.o ABC: `` Compiling: /src/opt/res/resWin.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/res/resWin.c -o src/opt/res/resWin.o ABC: `` Compiling: /src/opt/lpk/lpkCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkCore.c -o src/opt/lpk/lpkCore.o ABC: `` Compiling: /src/opt/lpk/lpkAbcDec.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcDec.c -o src/opt/lpk/lpkAbcDec.o ABC: `` Compiling: /src/opt/lpk/lpkAbcMux.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcMux.c -o src/opt/lpk/lpkAbcMux.o ABC: `` Compiling: /src/opt/lpk/lpkAbcDsd.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcDsd.c -o src/opt/lpk/lpkAbcDsd.o ABC: `` Compiling: /src/opt/lpk/lpkAbcUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkAbcUtil.c -o src/opt/lpk/lpkAbcUtil.o ABC: `` Compiling: /src/opt/lpk/lpkCut.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkCut.c -o src/opt/lpk/lpkCut.o ABC: `` Compiling: /src/opt/lpk/lpkMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMan.c -o src/opt/lpk/lpkMan.o ABC: `` Compiling: /src/opt/lpk/lpkMap.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMap.c -o src/opt/lpk/lpkMap.o ABC: `` Compiling: /src/opt/lpk/lpkMulti.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMulti.c -o src/opt/lpk/lpkMulti.o ABC: `` Compiling: /src/opt/lpk/lpkMux.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkMux.c -o src/opt/lpk/lpkMux.o ABC: `` Compiling: /src/opt/lpk/lpkSets.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/lpk/lpkSets.c -o src/opt/lpk/lpkSets.o ABC: `` Compiling: /src/opt/nwk/nwkAig.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkAig.c -o src/opt/nwk/nwkAig.o ABC: `` Compiling: /src/opt/nwk/nwkCheck.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkCheck.c -o src/opt/nwk/nwkCheck.o ABC: `` Compiling: /src/opt/nwk/nwkBidec.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkBidec.c -o src/opt/nwk/nwkBidec.o ABC: `` Compiling: /src/opt/nwk/nwkDfs.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkDfs.c -o src/opt/nwk/nwkDfs.o ABC: `` Compiling: /src/opt/nwk/nwkFanio.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkFanio.c -o src/opt/nwk/nwkFanio.o ABC: `` Compiling: /src/opt/nwk/nwkFlow.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkFlow.c -o src/opt/nwk/nwkFlow.o ABC: `` Compiling: /src/opt/nwk/nwkMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMan.c -o src/opt/nwk/nwkMan.o ABC: `` Compiling: /src/opt/nwk/nwkMap.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMap.c -o src/opt/nwk/nwkMap.o ABC: `` Compiling: /src/opt/nwk/nwkMerge.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkMerge.c -o src/opt/nwk/nwkMerge.o ABC: `` Compiling: /src/opt/nwk/nwkObj.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkObj.c -o src/opt/nwk/nwkObj.o ABC: `` Compiling: /src/opt/nwk/nwkSpeedup.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkSpeedup.c -o src/opt/nwk/nwkSpeedup.o ABC: `` Compiling: /src/opt/nwk/nwkStrash.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkStrash.c -o src/opt/nwk/nwkStrash.o ABC: `` Compiling: /src/opt/nwk/nwkTiming.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkTiming.c -o src/opt/nwk/nwkTiming.o ABC: `` Compiling: /src/opt/nwk/nwkUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/nwk/nwkUtil.c -o src/opt/nwk/nwkUtil.o ABC: `` Compiling: /src/opt/rwt/rwtDec.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwt/rwtDec.c -o src/opt/rwt/rwtDec.o ABC: `` Compiling: /src/opt/rwt/rwtMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwt/rwtMan.c -o src/opt/rwt/rwtMan.o ABC: `` Compiling: /src/opt/rwt/rwtUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/rwt/rwtUtil.c -o src/opt/rwt/rwtUtil.o ABC: `` Compiling: /src/opt/cgt/cgtAig.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtAig.c -o src/opt/cgt/cgtAig.o ABC: `` Compiling: /src/opt/cgt/cgtCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtCore.c -o src/opt/cgt/cgtCore.o ABC: `` Compiling: /src/opt/cgt/cgtDecide.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtDecide.c -o src/opt/cgt/cgtDecide.o ABC: `` Compiling: /src/opt/cgt/cgtMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtMan.c -o src/opt/cgt/cgtMan.o ABC: `` Compiling: /src/opt/cgt/cgtSat.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/cgt/cgtSat.c -o src/opt/cgt/cgtSat.o ABC: `` Compiling: /src/opt/csw/cswCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswCore.c -o src/opt/csw/cswCore.o ABC: `` Compiling: /src/opt/csw/cswCut.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswCut.c -o src/opt/csw/cswCut.o ABC: `` Compiling: /src/opt/csw/cswMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswMan.c -o src/opt/csw/cswMan.o ABC: `` Compiling: /src/opt/csw/cswTable.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/csw/cswTable.c -o src/opt/csw/cswTable.o ABC: `` Compiling: /src/opt/dar/darBalance.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darBalance.c -o src/opt/dar/darBalance.o ABC: `` Compiling: /src/opt/dar/darCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darCore.c -o src/opt/dar/darCore.o ABC: `` Compiling: /src/opt/dar/darCut.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darCut.c -o src/opt/dar/darCut.o ABC: `` Compiling: /src/opt/dar/darData.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darData.c -o src/opt/dar/darData.o ABC: `` Compiling: /src/opt/dar/darLib.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darLib.c -o src/opt/dar/darLib.o ABC: `` Compiling: /src/opt/dar/darMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darMan.c -o src/opt/dar/darMan.o ABC: `` Compiling: /src/opt/dar/darPrec.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darPrec.c -o src/opt/dar/darPrec.o ABC: `` Compiling: /src/opt/dar/darRefact.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darRefact.c -o src/opt/dar/darRefact.o ABC: `` Compiling: /src/opt/dar/darScript.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dar/darScript.c -o src/opt/dar/darScript.o ABC: `` Compiling: /src/opt/dau/dauCanon.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCanon.c -o src/opt/dau/dauCanon.o ABC: `` Compiling: /src/opt/dau/dauCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCore.c -o src/opt/dau/dauCore.o ABC: `` Compiling: /src/opt/dau/dauCount.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauCount.c -o src/opt/dau/dauCount.o ABC: `` Compiling: /src/opt/dau/dauDivs.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauDivs.c -o src/opt/dau/dauDivs.o ABC: `` Compiling: /src/opt/dau/dauDsd.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauDsd.c -o src/opt/dau/dauDsd.o ABC: `` Compiling: /src/opt/dau/dauEnum.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauEnum.c -o src/opt/dau/dauEnum.o ABC: `` Compiling: /src/opt/dau/dauGia.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauGia.c -o src/opt/dau/dauGia.o ABC: `` Compiling: /src/opt/dau/dauMerge.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauMerge.c -o src/opt/dau/dauMerge.o src/opt/dau/dauMerge.c: In function ‘Dau_DsdMerge’: src/opt/dau/dauMerge.c:718:31: warning: ‘%s’ directive writing up to 1999 bytes into a region of size between 0 and 1999 [-Wformat-overflow=] 718 | sprintf( pS->pOutput, "(%s%s)", pDsd0, pDsd1 ); | ^~ ~~~~~ In file included from /usr/include/stdio.h:867, from src/opt/dau/dauInt.h:29, from src/opt/dau/dauMerge.c:21: /usr/include/x86_64-linux-gnu/bits/stdio2.h:36:10: note: ‘__builtin___sprintf_chk’ output between 3 and 4001 bytes into a destination of size 2000 36 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 37 | __bos (__s), __fmt, __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ABC: `` Compiling: /src/opt/dau/dauNonDsd.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauNonDsd.c -o src/opt/dau/dauNonDsd.o ABC: `` Compiling: /src/opt/dau/dauNpn.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauNpn.c -o src/opt/dau/dauNpn.o ABC: `` Compiling: /src/opt/dau/dauNpn2.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauNpn2.c -o src/opt/dau/dauNpn2.o ABC: `` Compiling: /src/opt/dau/dauTree.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dau/dauTree.c -o src/opt/dau/dauTree.o ABC: `` Compiling: /src/opt/dsc/dsc.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/dsc/dsc.c -o src/opt/dsc/dsc.o ABC: `` Compiling: /src/opt/sfm/sfmArea.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmArea.c -o src/opt/sfm/sfmArea.o ABC: `` Compiling: /src/opt/sfm/sfmCnf.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmCnf.c -o src/opt/sfm/sfmCnf.o ABC: `` Compiling: /src/opt/sfm/sfmCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmCore.c -o src/opt/sfm/sfmCore.o ABC: `` Compiling: /src/opt/sfm/sfmDec.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmDec.c -o src/opt/sfm/sfmDec.o ABC: `` Compiling: /src/opt/sfm/sfmLib.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmLib.c -o src/opt/sfm/sfmLib.o ABC: `` Compiling: /src/opt/sfm/sfmNtk.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmNtk.c -o src/opt/sfm/sfmNtk.o ABC: `` Compiling: /src/opt/sfm/sfmSat.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmSat.c -o src/opt/sfm/sfmSat.o ABC: `` Compiling: /src/opt/sfm/sfmTim.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmTim.c -o src/opt/sfm/sfmTim.o ABC: `` Compiling: /src/opt/sfm/sfmMit.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmMit.c -o src/opt/sfm/sfmMit.o ABC: `` Compiling: /src/opt/sfm/sfmWin.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sfm/sfmWin.c -o src/opt/sfm/sfmWin.o ABC: `` Compiling: /src/opt/sbd/sbd.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbd.c -o src/opt/sbd/sbd.o ABC: `` Compiling: /src/opt/sbd/sbdCnf.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCnf.c -o src/opt/sbd/sbdCnf.o ABC: `` Compiling: /src/opt/sbd/sbdCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCore.c -o src/opt/sbd/sbdCore.o ABC: `` Compiling: /src/opt/sbd/sbdCut.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCut.c -o src/opt/sbd/sbdCut.o ABC: `` Compiling: /src/opt/sbd/sbdCut2.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdCut2.c -o src/opt/sbd/sbdCut2.o ABC: `` Compiling: /src/opt/sbd/sbdLut.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdLut.c -o src/opt/sbd/sbdLut.o ABC: `` Compiling: /src/opt/sbd/sbdPath.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdPath.c -o src/opt/sbd/sbdPath.o ABC: `` Compiling: /src/opt/sbd/sbdSat.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdSat.c -o src/opt/sbd/sbdSat.o ABC: `` Compiling: /src/opt/sbd/sbdWin.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/opt/sbd/sbdWin.c -o src/opt/sbd/sbdWin.o ABC: `` Compiling: /src/sat/bsat/satMem.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satMem.c -o src/sat/bsat/satMem.o ABC: `` Compiling: /src/sat/bsat/satInter.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInter.c -o src/sat/bsat/satInter.o ABC: `` Compiling: /src/sat/bsat/satInterA.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterA.c -o src/sat/bsat/satInterA.o ABC: `` Compiling: /src/sat/bsat/satInterB.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterB.c -o src/sat/bsat/satInterB.o ABC: `` Compiling: /src/sat/bsat/satInterP.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satInterP.c -o src/sat/bsat/satInterP.o ABC: `` Compiling: /src/sat/bsat/satProof.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satProof.c -o src/sat/bsat/satProof.o ABC: `` Compiling: /src/sat/bsat/satSolver.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver.c -o src/sat/bsat/satSolver.o ABC: `` Compiling: /src/sat/bsat/satSolver2.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver2.c -o src/sat/bsat/satSolver2.o ABC: `` Compiling: /src/sat/bsat/satSolver2i.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver2i.c -o src/sat/bsat/satSolver2i.o ABC: `` Compiling: /src/sat/bsat/satSolver3.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satSolver3.c -o src/sat/bsat/satSolver3.o ABC: `` Compiling: /src/sat/bsat/satStore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satStore.c -o src/sat/bsat/satStore.o ABC: `` Compiling: /src/sat/bsat/satTrace.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satTrace.c -o src/sat/bsat/satTrace.o ABC: `` Compiling: /src/sat/bsat/satTruth.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satTruth.c -o src/sat/bsat/satTruth.o ABC: `` Compiling: /src/sat/bsat/satUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bsat/satUtil.c -o src/sat/bsat/satUtil.o ABC: `` Compiling: /src/sat/xsat/xsatSolver.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatSolver.c -o src/sat/xsat/xsatSolver.o ABC: `` Compiling: /src/sat/xsat/xsatSolverAPI.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatSolverAPI.c -o src/sat/xsat/xsatSolverAPI.o ABC: `` Compiling: /src/sat/xsat/xsatCnfReader.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/xsat/xsatCnfReader.c -o src/sat/xsat/xsatCnfReader.o ABC: `` Compiling: /src/sat/satoko/solver.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/solver.c -o src/sat/satoko/solver.o ABC: `` Compiling: /src/sat/satoko/solver_api.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/solver_api.c -o src/sat/satoko/solver_api.o ABC: `` Compiling: /src/sat/satoko/cnf_reader.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/satoko/cnf_reader.c -o src/sat/satoko/cnf_reader.o ABC: `` Compiling: /src/sat/csat/csat_apis.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/csat/csat_apis.c -o src/sat/csat/csat_apis.o ABC: `` Compiling: /src/sat/msat/msatActivity.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatActivity.c -o src/sat/msat/msatActivity.o ABC: `` Compiling: /src/sat/msat/msatClause.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatClause.c -o src/sat/msat/msatClause.o ABC: `` Compiling: /src/sat/msat/msatClauseVec.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatClauseVec.c -o src/sat/msat/msatClauseVec.o ABC: `` Compiling: /src/sat/msat/msatMem.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatMem.c -o src/sat/msat/msatMem.o ABC: `` Compiling: /src/sat/msat/msatOrderH.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatOrderH.c -o src/sat/msat/msatOrderH.o ABC: `` Compiling: /src/sat/msat/msatQueue.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatQueue.c -o src/sat/msat/msatQueue.o ABC: `` Compiling: /src/sat/msat/msatRead.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatRead.c -o src/sat/msat/msatRead.o ABC: `` Compiling: /src/sat/msat/msatSolverApi.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverApi.c -o src/sat/msat/msatSolverApi.o ABC: `` Compiling: /src/sat/msat/msatSolverCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverCore.c -o src/sat/msat/msatSolverCore.o ABC: `` Compiling: /src/sat/msat/msatSolverIo.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverIo.c -o src/sat/msat/msatSolverIo.o ABC: `` Compiling: /src/sat/msat/msatSolverSearch.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSolverSearch.c -o src/sat/msat/msatSolverSearch.o ABC: `` Compiling: /src/sat/msat/msatSort.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatSort.c -o src/sat/msat/msatSort.o ABC: `` Compiling: /src/sat/msat/msatVec.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/msat/msatVec.c -o src/sat/msat/msatVec.o ABC: `` Compiling: /src/sat/cnf/cnfCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfCore.c -o src/sat/cnf/cnfCore.o ABC: `` Compiling: /src/sat/cnf/cnfCut.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfCut.c -o src/sat/cnf/cnfCut.o ABC: `` Compiling: /src/sat/cnf/cnfData.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfData.c -o src/sat/cnf/cnfData.o ABC: `` Compiling: /src/sat/cnf/cnfFast.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfFast.c -o src/sat/cnf/cnfFast.o ABC: `` Compiling: /src/sat/cnf/cnfMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfMan.c -o src/sat/cnf/cnfMan.o ABC: `` Compiling: /src/sat/cnf/cnfMap.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfMap.c -o src/sat/cnf/cnfMap.o ABC: `` Compiling: /src/sat/cnf/cnfPost.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfPost.c -o src/sat/cnf/cnfPost.o ABC: `` Compiling: /src/sat/cnf/cnfUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfUtil.c -o src/sat/cnf/cnfUtil.o ABC: `` Compiling: /src/sat/cnf/cnfWrite.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/cnf/cnfWrite.c -o src/sat/cnf/cnfWrite.o ABC: `` Compiling: /src/sat/bmc/bmcBCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBCore.c -o src/sat/bmc/bmcBCore.o ABC: `` Compiling: /src/sat/bmc/bmcBmc.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc.c -o src/sat/bmc/bmcBmc.o ABC: `` Compiling: /src/sat/bmc/bmcBmc2.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc2.c -o src/sat/bmc/bmcBmc2.o ABC: `` Compiling: /src/sat/bmc/bmcBmc3.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmc3.c -o src/sat/bmc/bmcBmc3.o ABC: `` Compiling: /src/sat/bmc/bmcBmcAnd.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcAnd.c -o src/sat/bmc/bmcBmcAnd.o ABC: `` Compiling: /src/sat/bmc/bmcBmci.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmci.c -o src/sat/bmc/bmcBmci.o ABC: `` Compiling: /src/sat/bmc/bmcBmcG.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcG.c -o src/sat/bmc/bmcBmcG.o ABC: `` Compiling: /src/sat/bmc/bmcBmcS.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcBmcS.c -o src/sat/bmc/bmcBmcS.o ABC: `` Compiling: /src/sat/bmc/bmcCexCare.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexCare.c -o src/sat/bmc/bmcCexCare.o ABC: `` Compiling: /src/sat/bmc/bmcCexCut.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexCut.c -o src/sat/bmc/bmcCexCut.o ABC: `` Compiling: /src/sat/bmc/bmcCexDepth.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexDepth.c -o src/sat/bmc/bmcCexDepth.o ABC: `` Compiling: /src/sat/bmc/bmcCexMin1.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexMin1.c -o src/sat/bmc/bmcCexMin1.o ABC: `` Compiling: /src/sat/bmc/bmcCexMin2.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexMin2.c -o src/sat/bmc/bmcCexMin2.o ABC: `` Compiling: /src/sat/bmc/bmcCexTools.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcCexTools.c -o src/sat/bmc/bmcCexTools.o ABC: `` Compiling: /src/sat/bmc/bmcChain.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcChain.c -o src/sat/bmc/bmcChain.o ABC: `` Compiling: /src/sat/bmc/bmcClp.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcClp.c -o src/sat/bmc/bmcClp.o ABC: `` Compiling: /src/sat/bmc/bmcEco.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcEco.c -o src/sat/bmc/bmcEco.o ABC: `` Compiling: /src/sat/bmc/bmcExpand.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcExpand.c -o src/sat/bmc/bmcExpand.o ABC: `` Compiling: /src/sat/bmc/bmcFault.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcFault.c -o src/sat/bmc/bmcFault.o ABC: `` Compiling: /src/sat/bmc/bmcFx.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcFx.c -o src/sat/bmc/bmcFx.o ABC: `` Compiling: /src/sat/bmc/bmcGen.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcGen.c -o src/sat/bmc/bmcGen.o ABC: `` Compiling: /src/sat/bmc/bmcICheck.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcICheck.c -o src/sat/bmc/bmcICheck.o ABC: `` Compiling: /src/sat/bmc/bmcInse.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcInse.c -o src/sat/bmc/bmcInse.o ABC: `` Compiling: /src/sat/bmc/bmcLoad.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcLoad.c -o src/sat/bmc/bmcLoad.o In file included from ./src/misc/vec/vec.h:29, from ./src/aig/aig/aig.h:34, from ./src/aig/saig/saig.h:29, from src/sat/bmc/bmc.h:29, from src/sat/bmc/bmcICheck.c:21: In function ‘Vec_IntAlloc’, inlined from ‘Vec_IntStartNatural’ at ./src/misc/vec/vecInt.h:167:9, inlined from ‘Bmc_PerformISearchOne’ at src/sat/bmc/bmcICheck.c:433:13: ./src/misc/util/abc_global.h:259:44: warning: argument 1 range [18446744065119617024, 18446744073709551612] exceeds maximum object size 9223372036854775807 [-Walloc-size-larger-than=] 259 | #define ABC_ALLOC(type, num) ((type *) malloc(sizeof(type) * (size_t)(num))) | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ./src/misc/vec/vecInt.h:100:26: note: in expansion of macro ‘ABC_ALLOC’ 100 | p->pArray = p->nCap? ABC_ALLOC( int, p->nCap ) : NULL; | ^~~~~~~~~ In file included from ./src/aig/aig/aig.h:30, from ./src/aig/saig/saig.h:29, from src/sat/bmc/bmc.h:29, from src/sat/bmc/bmcICheck.c:21: ./src/misc/vec/vecInt.h: In function ‘Bmc_PerformISearchOne’: /usr/include/stdlib.h:539:14: note: in a call to allocation function ‘malloc’ declared here 539 | extern void *malloc (size_t __size) __THROW __attribute_malloc__ __wur; | ^~~~~~ ABC: `` Compiling: /src/sat/bmc/bmcMaj.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaj.c -o src/sat/bmc/bmcMaj.o ABC: `` Compiling: /src/sat/bmc/bmcMaj2.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaj2.c -o src/sat/bmc/bmcMaj2.o ABC: `` Compiling: /src/sat/bmc/bmcMaj3.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaj3.c -o src/sat/bmc/bmcMaj3.o ABC: `` Compiling: /src/sat/bmc/bmcMaxi.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMaxi.c -o src/sat/bmc/bmcMaxi.o ABC: `` Compiling: /src/sat/bmc/bmcMesh.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMesh.c -o src/sat/bmc/bmcMesh.o ABC: `` Compiling: /src/sat/bmc/bmcMesh2.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMesh2.c -o src/sat/bmc/bmcMesh2.o ABC: `` Compiling: /src/sat/bmc/bmcMulti.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcMulti.c -o src/sat/bmc/bmcMulti.o ABC: `` Compiling: /src/sat/bmc/bmcUnroll.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/sat/bmc/bmcUnroll.c -o src/sat/bmc/bmcUnroll.o ABC: `` Compiling: /src/bool/bdc/bdcCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcCore.c -o src/bool/bdc/bdcCore.o ABC: `` Compiling: /src/bool/bdc/bdcDec.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcDec.c -o src/bool/bdc/bdcDec.o ABC: `` Compiling: /src/bool/bdc/bdcSpfd.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcSpfd.c -o src/bool/bdc/bdcSpfd.o ABC: `` Compiling: /src/bool/bdc/bdcTable.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/bdc/bdcTable.c -o src/bool/bdc/bdcTable.o ABC: `` Compiling: /src/bool/dec/decAbc.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decAbc.c -o src/bool/dec/decAbc.o ABC: `` Compiling: /src/bool/dec/decFactor.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decFactor.c -o src/bool/dec/decFactor.o ABC: `` Compiling: /src/bool/dec/decMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decMan.c -o src/bool/dec/decMan.o ABC: `` Compiling: /src/bool/dec/decPrint.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decPrint.c -o src/bool/dec/decPrint.o ABC: `` Compiling: /src/bool/dec/decUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/dec/decUtil.c -o src/bool/dec/decUtil.o ABC: `` Compiling: /src/bool/kit/kitAig.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitAig.c -o src/bool/kit/kitAig.o ABC: `` Compiling: /src/bool/kit/kitBdd.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitBdd.c -o src/bool/kit/kitBdd.o ABC: `` Compiling: /src/bool/kit/kitCloud.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitCloud.c -o src/bool/kit/kitCloud.o ABC: `` Compiling: /src/bool/kit/cloud.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/cloud.c -o src/bool/kit/cloud.o ABC: `` Compiling: /src/bool/kit/kitDsd.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitDsd.c -o src/bool/kit/kitDsd.o ABC: `` Compiling: /src/bool/kit/kitFactor.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitFactor.c -o src/bool/kit/kitFactor.o ABC: `` Compiling: /src/bool/kit/kitGraph.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitGraph.c -o src/bool/kit/kitGraph.o ABC: `` Compiling: /src/bool/kit/kitHop.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitHop.c -o src/bool/kit/kitHop.o ABC: `` Compiling: /src/bool/kit/kitIsop.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitIsop.c -o src/bool/kit/kitIsop.o ABC: `` Compiling: /src/bool/kit/kitPla.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitPla.c -o src/bool/kit/kitPla.o ABC: `` Compiling: /src/bool/kit/kitSop.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitSop.c -o src/bool/kit/kitSop.o ABC: `` Compiling: /src/bool/kit/kitTruth.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/kit/kitTruth.c -o src/bool/kit/kitTruth.o ABC: `` Compiling: /src/bool/lucky/lucky.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/lucky.c -o src/bool/lucky/lucky.o ABC: `` Compiling: /src/bool/lucky/luckyFast16.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckyFast16.c -o src/bool/lucky/luckyFast16.o ABC: `` Compiling: /src/bool/lucky/luckyFast6.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckyFast6.c -o src/bool/lucky/luckyFast6.o ABC: `` Compiling: /src/bool/lucky/luckyRead.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckyRead.c -o src/bool/lucky/luckyRead.o ABC: `` Compiling: /src/bool/lucky/luckySimple.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySimple.c -o src/bool/lucky/luckySimple.o ABC: `` Compiling: /src/bool/lucky/luckySwapIJ.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySwapIJ.c -o src/bool/lucky/luckySwapIJ.o ABC: `` Compiling: /src/bool/lucky/luckySwap.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/lucky/luckySwap.c -o src/bool/lucky/luckySwap.o ABC: `` Compiling: /src/bool/rsb/rsbDec6.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rsb/rsbDec6.c -o src/bool/rsb/rsbDec6.o ABC: `` Compiling: /src/bool/rsb/rsbMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rsb/rsbMan.c -o src/bool/rsb/rsbMan.o ABC: `` Compiling: /src/bool/rpo/rpo.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bool/rpo/rpo.c -o src/bool/rpo/rpo.o ABC: `` Compiling: /src/proof/pdr/pdrCnf.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrCnf.c -o src/proof/pdr/pdrCnf.o ABC: `` Compiling: /src/proof/pdr/pdrCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrCore.c -o src/proof/pdr/pdrCore.o ABC: `` Compiling: /src/proof/pdr/pdrIncr.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrIncr.c -o src/proof/pdr/pdrIncr.o ABC: `` Compiling: /src/proof/pdr/pdrInv.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrInv.c -o src/proof/pdr/pdrInv.o ABC: `` Compiling: /src/proof/pdr/pdrMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrMan.c -o src/proof/pdr/pdrMan.o ABC: `` Compiling: /src/proof/pdr/pdrSat.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrSat.c -o src/proof/pdr/pdrSat.o ABC: `` Compiling: /src/proof/pdr/pdrTsim.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrTsim.c -o src/proof/pdr/pdrTsim.o ABC: `` Compiling: /src/proof/pdr/pdrTsim2.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrTsim2.c -o src/proof/pdr/pdrTsim2.o ABC: `` Compiling: /src/proof/pdr/pdrTsim3.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrTsim3.c -o src/proof/pdr/pdrTsim3.o ABC: `` Compiling: /src/proof/pdr/pdrUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/pdr/pdrUtil.c -o src/proof/pdr/pdrUtil.o ABC: `` Compiling: /src/proof/abs/absDup.c ABC: `` Compiling: /src/proof/abs/absGla.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absDup.c -o src/proof/abs/absDup.o gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absGla.c -o src/proof/abs/absGla.o ABC: `` Compiling: /src/proof/abs/absGlaOld.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absGlaOld.c -o src/proof/abs/absGlaOld.o ABC: `` Compiling: /src/proof/abs/absIter.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absIter.c -o src/proof/abs/absIter.o ABC: `` Compiling: /src/proof/abs/absOldCex.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldCex.c -o src/proof/abs/absOldCex.o ABC: `` Compiling: /src/proof/abs/absOldRef.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldRef.c -o src/proof/abs/absOldRef.o ABC: `` Compiling: /src/proof/abs/absOldSat.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldSat.c -o src/proof/abs/absOldSat.o ABC: `` Compiling: /src/proof/abs/absOldSim.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOldSim.c -o src/proof/abs/absOldSim.o ABC: `` Compiling: /src/proof/abs/absOut.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absOut.c -o src/proof/abs/absOut.o ABC: `` Compiling: /src/proof/abs/absPth.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absPth.c -o src/proof/abs/absPth.o ABC: `` Compiling: /src/proof/abs/absRef.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRef.c -o src/proof/abs/absRef.o ABC: `` Compiling: /src/proof/abs/absRefSelect.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRefSelect.c -o src/proof/abs/absRefSelect.o ABC: `` Compiling: /src/proof/abs/absRpm.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRpm.c -o src/proof/abs/absRpm.o ABC: `` Compiling: /src/proof/abs/absRpmOld.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absRpmOld.c -o src/proof/abs/absRpmOld.o ABC: `` Compiling: /src/proof/abs/absVta.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absVta.c -o src/proof/abs/absVta.o ABC: `` Compiling: /src/proof/abs/absUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/abs/absUtil.c -o src/proof/abs/absUtil.o ABC: `` Compiling: /src/proof/live/liveness.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/liveness.c -o src/proof/live/liveness.o ABC: `` Compiling: /src/proof/live/liveness_sim.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/liveness_sim.c -o src/proof/live/liveness_sim.o ABC: `` Compiling: /src/proof/live/ltl_parser.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/ltl_parser.c -o src/proof/live/ltl_parser.o ABC: `` Compiling: /src/proof/live/kliveness.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/kliveness.c -o src/proof/live/kliveness.o ABC: `` Compiling: /src/proof/live/monotone.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/monotone.c -o src/proof/live/monotone.o ABC: `` Compiling: /src/proof/live/disjunctiveMonotone.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/disjunctiveMonotone.c -o src/proof/live/disjunctiveMonotone.o ABC: `` Compiling: /src/proof/live/arenaViolation.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/arenaViolation.c -o src/proof/live/arenaViolation.o ABC: `` Compiling: /src/proof/live/kLiveConstraints.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/kLiveConstraints.c -o src/proof/live/kLiveConstraints.o ABC: `` Compiling: /src/proof/live/combination.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/live/combination.c -o src/proof/live/combination.o ABC: `` Compiling: /src/proof/ssc/sscClass.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscClass.c -o src/proof/ssc/sscClass.o ABC: `` Compiling: /src/proof/ssc/sscCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscCore.c -o src/proof/ssc/sscCore.o ABC: `` Compiling: /src/proof/ssc/sscSat.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscSat.c -o src/proof/ssc/sscSat.o ABC: `` Compiling: /src/proof/ssc/sscSim.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscSim.c -o src/proof/ssc/sscSim.o ABC: `` Compiling: /src/proof/ssc/sscUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssc/sscUtil.c -o src/proof/ssc/sscUtil.o ABC: `` Compiling: /src/proof/int/intCheck.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCheck.c -o src/proof/int/intCheck.o ABC: `` Compiling: /src/proof/int/intContain.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intContain.c -o src/proof/int/intContain.o ABC: `` Compiling: /src/proof/int/intCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCore.c -o src/proof/int/intCore.o ABC: `` Compiling: /src/proof/int/intCtrex.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intCtrex.c -o src/proof/int/intCtrex.o ABC: `` Compiling: /src/proof/int/intDup.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intDup.c -o src/proof/int/intDup.o ABC: `` Compiling: /src/proof/int/intFrames.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intFrames.c -o src/proof/int/intFrames.o ABC: `` Compiling: /src/proof/int/intInter.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intInter.c -o src/proof/int/intInter.o ABC: `` Compiling: /src/proof/int/intM114.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intM114.c -o src/proof/int/intM114.o ABC: `` Compiling: /src/proof/int/intMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intMan.c -o src/proof/int/intMan.o ABC: `` Compiling: /src/proof/int/intUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/int/intUtil.c -o src/proof/int/intUtil.o ABC: `` Compiling: /src/proof/cec/cecCec.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCec.c -o src/proof/cec/cecCec.o ABC: `` Compiling: /src/proof/cec/cecChoice.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecChoice.c -o src/proof/cec/cecChoice.o ABC: `` Compiling: /src/proof/cec/cecClass.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecClass.c -o src/proof/cec/cecClass.o ABC: `` Compiling: /src/proof/cec/cecCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCore.c -o src/proof/cec/cecCore.o ABC: `` Compiling: /src/proof/cec/cecCorr.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecCorr.c -o src/proof/cec/cecCorr.o ABC: `` Compiling: /src/proof/cec/cecIso.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecIso.c -o src/proof/cec/cecIso.o ABC: `` Compiling: /src/proof/cec/cecMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecMan.c -o src/proof/cec/cecMan.o ABC: `` Compiling: /src/proof/cec/cecPat.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecPat.c -o src/proof/cec/cecPat.o ABC: `` Compiling: /src/proof/cec/cecSat.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSat.c -o src/proof/cec/cecSat.o ABC: `` Compiling: /src/proof/cec/cecSatG.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSatG.c -o src/proof/cec/cecSatG.o ABC: `` Compiling: /src/proof/cec/cecSeq.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSeq.c -o src/proof/cec/cecSeq.o ABC: `` Compiling: /src/proof/cec/cecSolve.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSolve.c -o src/proof/cec/cecSolve.o ABC: `` Compiling: /src/proof/cec/cecSplit.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSplit.c -o src/proof/cec/cecSplit.o ABC: `` Compiling: /src/proof/cec/cecSynth.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSynth.c -o src/proof/cec/cecSynth.o ABC: `` Compiling: /src/proof/cec/cecSweep.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/cec/cecSweep.c -o src/proof/cec/cecSweep.o ABC: `` Compiling: /src/proof/acec/acecCl.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCl.c -o src/proof/acec/acecCl.o ABC: `` Compiling: /src/proof/acec/acecCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCore.c -o src/proof/acec/acecCore.o ABC: `` Compiling: /src/proof/acec/acecCo.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCo.c -o src/proof/acec/acecCo.o ABC: `` Compiling: /src/proof/acec/acecBo.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecBo.c -o src/proof/acec/acecBo.o ABC: `` Compiling: /src/proof/acec/acecRe.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecRe.c -o src/proof/acec/acecRe.o ABC: `` Compiling: /src/proof/acec/acecPa.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPa.c -o src/proof/acec/acecPa.o ABC: `` Compiling: /src/proof/acec/acecPo.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPo.c -o src/proof/acec/acecPo.o ABC: `` Compiling: /src/proof/acec/acecPool.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPool.c -o src/proof/acec/acecPool.o ABC: `` Compiling: /src/proof/acec/acecCover.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecCover.c -o src/proof/acec/acecCover.o ABC: `` Compiling: /src/proof/acec/acecFadds.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecFadds.c -o src/proof/acec/acecFadds.o ABC: `` Compiling: /src/proof/acec/acecMult.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecMult.c -o src/proof/acec/acecMult.o ABC: `` Compiling: /src/proof/acec/acecNorm.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecNorm.c -o src/proof/acec/acecNorm.o ABC: `` Compiling: /src/proof/acec/acecOrder.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecOrder.c -o src/proof/acec/acecOrder.o ABC: `` Compiling: /src/proof/acec/acecPolyn.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecPolyn.c -o src/proof/acec/acecPolyn.o ABC: `` Compiling: /src/proof/acec/acecSt.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecSt.c -o src/proof/acec/acecSt.o ABC: `` Compiling: /src/proof/acec/acecTree.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecTree.c -o src/proof/acec/acecTree.o ABC: `` Compiling: /src/proof/acec/acecUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecUtil.c -o src/proof/acec/acecUtil.o ABC: `` Compiling: /src/proof/acec/acec2Mult.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acec2Mult.c -o src/proof/acec/acec2Mult.o ABC: `` Compiling: /src/proof/acec/acecXor.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/acec/acecXor.c -o src/proof/acec/acecXor.o ABC: `` Compiling: /src/proof/dch/dchAig.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchAig.c -o src/proof/dch/dchAig.o ABC: `` Compiling: /src/proof/dch/dchChoice.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchChoice.c -o src/proof/dch/dchChoice.o ABC: `` Compiling: /src/proof/dch/dchClass.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchClass.c -o src/proof/dch/dchClass.o ABC: `` Compiling: /src/proof/dch/dchCnf.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchCnf.c -o src/proof/dch/dchCnf.o ABC: `` Compiling: /src/proof/dch/dchCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchCore.c -o src/proof/dch/dchCore.o ABC: `` Compiling: /src/proof/dch/dchMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchMan.c -o src/proof/dch/dchMan.o ABC: `` Compiling: /src/proof/dch/dchSat.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSat.c -o src/proof/dch/dchSat.o ABC: `` Compiling: /src/proof/dch/dchSim.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSim.c -o src/proof/dch/dchSim.o ABC: `` Compiling: /src/proof/dch/dchSimSat.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSimSat.c -o src/proof/dch/dchSimSat.o ABC: `` Compiling: /src/proof/dch/dchSweep.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/dch/dchSweep.c -o src/proof/dch/dchSweep.o ABC: `` Compiling: /src/proof/fraig/fraigApi.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigApi.c -o src/proof/fraig/fraigApi.o ABC: `` Compiling: /src/proof/fraig/fraigCanon.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigCanon.c -o src/proof/fraig/fraigCanon.o ABC: `` Compiling: /src/proof/fraig/fraigFanout.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigFanout.c -o src/proof/fraig/fraigFanout.o ABC: `` Compiling: /src/proof/fraig/fraigFeed.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigFeed.c -o src/proof/fraig/fraigFeed.o ABC: `` Compiling: /src/proof/fraig/fraigMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigMan.c -o src/proof/fraig/fraigMan.o ABC: `` Compiling: /src/proof/fraig/fraigMem.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigMem.c -o src/proof/fraig/fraigMem.o ABC: `` Compiling: /src/proof/fraig/fraigNode.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigNode.c -o src/proof/fraig/fraigNode.o ABC: `` Compiling: /src/proof/fraig/fraigPrime.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigPrime.c -o src/proof/fraig/fraigPrime.o ABC: `` Compiling: /src/proof/fraig/fraigSat.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigSat.c -o src/proof/fraig/fraigSat.o ABC: `` Compiling: /src/proof/fraig/fraigTable.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigTable.c -o src/proof/fraig/fraigTable.o ABC: `` Compiling: /src/proof/fraig/fraigUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigUtil.c -o src/proof/fraig/fraigUtil.o ABC: `` Compiling: /src/proof/fraig/fraigVec.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fraig/fraigVec.c -o src/proof/fraig/fraigVec.o ABC: `` Compiling: /src/proof/fra/fraBmc.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraBmc.c -o src/proof/fra/fraBmc.o ABC: `` Compiling: /src/proof/fra/fraCec.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCec.c -o src/proof/fra/fraCec.o ABC: `` Compiling: /src/proof/fra/fraClass.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClass.c -o src/proof/fra/fraClass.o ABC: `` Compiling: /src/proof/fra/fraClau.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClau.c -o src/proof/fra/fraClau.o ABC: `` Compiling: /src/proof/fra/fraClaus.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraClaus.c -o src/proof/fra/fraClaus.o ABC: `` Compiling: /src/proof/fra/fraCnf.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCnf.c -o src/proof/fra/fraCnf.o ABC: `` Compiling: /src/proof/fra/fraCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraCore.c -o src/proof/fra/fraCore.o ABC: `` Compiling: /src/proof/fra/fraHot.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraHot.c -o src/proof/fra/fraHot.o ABC: `` Compiling: /src/proof/fra/fraImp.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraImp.c -o src/proof/fra/fraImp.o ABC: `` Compiling: /src/proof/fra/fraInd.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraInd.c -o src/proof/fra/fraInd.o ABC: `` Compiling: /src/proof/fra/fraIndVer.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraIndVer.c -o src/proof/fra/fraIndVer.o ABC: `` Compiling: /src/proof/fra/fraLcr.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraLcr.c -o src/proof/fra/fraLcr.o ABC: `` Compiling: /src/proof/fra/fraMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraMan.c -o src/proof/fra/fraMan.o ABC: `` Compiling: /src/proof/fra/fraPart.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraPart.c -o src/proof/fra/fraPart.o ABC: `` Compiling: /src/proof/fra/fraSat.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSat.c -o src/proof/fra/fraSat.o ABC: `` Compiling: /src/proof/fra/fraSec.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSec.c -o src/proof/fra/fraSec.o ABC: `` Compiling: /src/proof/fra/fraSim.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/fra/fraSim.c -o src/proof/fra/fraSim.o ABC: `` Compiling: /src/proof/ssw/sswAig.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswAig.c -o src/proof/ssw/sswAig.o ABC: `` Compiling: /src/proof/ssw/sswBmc.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswBmc.c -o src/proof/ssw/sswBmc.o ABC: `` Compiling: /src/proof/ssw/sswClass.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswClass.c -o src/proof/ssw/sswClass.o ABC: `` Compiling: /src/proof/ssw/sswCnf.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswCnf.c -o src/proof/ssw/sswCnf.o ABC: `` Compiling: /src/proof/ssw/sswConstr.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswConstr.c -o src/proof/ssw/sswConstr.o ABC: `` Compiling: /src/proof/ssw/sswCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswCore.c -o src/proof/ssw/sswCore.o ABC: `` Compiling: /src/proof/ssw/sswDyn.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswDyn.c -o src/proof/ssw/sswDyn.o ABC: `` Compiling: /src/proof/ssw/sswFilter.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswFilter.c -o src/proof/ssw/sswFilter.o ABC: `` Compiling: /src/proof/ssw/sswIslands.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswIslands.c -o src/proof/ssw/sswIslands.o ABC: `` Compiling: /src/proof/ssw/sswLcorr.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswLcorr.c -o src/proof/ssw/sswLcorr.o ABC: `` Compiling: /src/proof/ssw/sswMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswMan.c -o src/proof/ssw/sswMan.o ABC: `` Compiling: /src/proof/ssw/sswPart.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswPart.c -o src/proof/ssw/sswPart.o ABC: `` Compiling: /src/proof/ssw/sswPairs.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswPairs.c -o src/proof/ssw/sswPairs.o ABC: `` Compiling: /src/proof/ssw/sswRarity.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswRarity.c -o src/proof/ssw/sswRarity.o ABC: `` Compiling: /src/proof/ssw/sswSat.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSat.c -o src/proof/ssw/sswSat.o ABC: `` Compiling: /src/proof/ssw/sswSemi.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSemi.c -o src/proof/ssw/sswSemi.o ABC: `` Compiling: /src/proof/ssw/sswSim.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSim.c -o src/proof/ssw/sswSim.o ABC: `` Compiling: /src/proof/ssw/sswSimSat.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSimSat.c -o src/proof/ssw/sswSimSat.o ABC: `` Compiling: /src/proof/ssw/sswSweep.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswSweep.c -o src/proof/ssw/sswSweep.o ABC: `` Compiling: /src/proof/ssw/sswUnique.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/proof/ssw/sswUnique.c -o src/proof/ssw/sswUnique.o ABC: `` Compiling: /src/aig/aig/aigCheck.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCheck.c -o src/aig/aig/aigCheck.o ABC: `` Compiling: /src/aig/aig/aigCanon.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCanon.c -o src/aig/aig/aigCanon.o ABC: `` Compiling: /src/aig/aig/aigCuts.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigCuts.c -o src/aig/aig/aigCuts.o ABC: `` Compiling: /src/aig/aig/aigDfs.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigDfs.c -o src/aig/aig/aigDfs.o ABC: `` Compiling: /src/aig/aig/aigDup.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigDup.c -o src/aig/aig/aigDup.o ABC: `` Compiling: /src/aig/aig/aigFanout.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigFanout.c -o src/aig/aig/aigFanout.o ABC: `` Compiling: /src/aig/aig/aigFrames.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigFrames.c -o src/aig/aig/aigFrames.o ABC: `` Compiling: /src/aig/aig/aigInter.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigInter.c -o src/aig/aig/aigInter.o ABC: `` Compiling: /src/aig/aig/aigJust.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigJust.c -o src/aig/aig/aigJust.o ABC: `` Compiling: /src/aig/aig/aigMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMan.c -o src/aig/aig/aigMan.o ABC: `` Compiling: /src/aig/aig/aigMem.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMem.c -o src/aig/aig/aigMem.o ABC: `` Compiling: /src/aig/aig/aigMffc.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigMffc.c -o src/aig/aig/aigMffc.o ABC: `` Compiling: /src/aig/aig/aigObj.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigObj.c -o src/aig/aig/aigObj.o ABC: `` Compiling: /src/aig/aig/aigOper.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigOper.c -o src/aig/aig/aigOper.o ABC: `` Compiling: /src/aig/aig/aigOrder.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigOrder.c -o src/aig/aig/aigOrder.o ABC: `` Compiling: /src/aig/aig/aigPack.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPack.c -o src/aig/aig/aigPack.o ABC: `` Compiling: /src/aig/aig/aigPart.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPart.c -o src/aig/aig/aigPart.o ABC: `` Compiling: /src/aig/aig/aigPartReg.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPartReg.c -o src/aig/aig/aigPartReg.o ABC: `` Compiling: /src/aig/aig/aigPartSat.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigPartSat.c -o src/aig/aig/aigPartSat.o ABC: `` Compiling: /src/aig/aig/aigRepr.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRepr.c -o src/aig/aig/aigRepr.o ABC: `` Compiling: /src/aig/aig/aigRet.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRet.c -o src/aig/aig/aigRet.o ABC: `` Compiling: /src/aig/aig/aigRetF.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigRetF.c -o src/aig/aig/aigRetF.o ABC: `` Compiling: /src/aig/aig/aigScl.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigScl.c -o src/aig/aig/aigScl.o ABC: `` Compiling: /src/aig/aig/aigShow.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigShow.c -o src/aig/aig/aigShow.o ABC: `` Compiling: /src/aig/aig/aigSplit.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigSplit.c -o src/aig/aig/aigSplit.o ABC: `` Compiling: /src/aig/aig/aigTable.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTable.c -o src/aig/aig/aigTable.o ABC: `` Compiling: /src/aig/aig/aigTiming.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTiming.c -o src/aig/aig/aigTiming.o ABC: `` Compiling: /src/aig/aig/aigTruth.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTruth.c -o src/aig/aig/aigTruth.o ABC: `` Compiling: /src/aig/aig/aigTsim.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigTsim.c -o src/aig/aig/aigTsim.o ABC: `` Compiling: /src/aig/aig/aigUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigUtil.c -o src/aig/aig/aigUtil.o ABC: `` Compiling: /src/aig/aig/aigWin.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/aig/aigWin.c -o src/aig/aig/aigWin.o ABC: `` Compiling: /src/aig/saig/saigCone.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigCone.c -o src/aig/saig/saigCone.o ABC: `` Compiling: /src/aig/saig/saigConstr.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigConstr.c -o src/aig/saig/saigConstr.o ABC: `` Compiling: /src/aig/saig/saigConstr2.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigConstr2.c -o src/aig/saig/saigConstr2.o ABC: `` Compiling: /src/aig/saig/saigDual.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigDual.c -o src/aig/saig/saigDual.o ABC: `` Compiling: /src/aig/saig/saigDup.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigDup.c -o src/aig/saig/saigDup.o ABC: `` Compiling: /src/aig/saig/saigInd.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigInd.c -o src/aig/saig/saigInd.o ABC: `` Compiling: /src/aig/saig/saigIoa.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIoa.c -o src/aig/saig/saigIoa.o src/aig/saig/saigIoa.c: In function ‘Saig_ObjName’: src/aig/saig/saigIoa.c:58:29: warning: ‘%0*d’ directive writing between 1 and 2147483647 bytes into a region of size 14 [-Wformat-overflow=] 58 | sprintf( Buffer, "li%0*d", Abc_Base10Log(Saig_ManRegNum(p)), Aig_ObjCioId(pObj) - Saig_ManPoNum(p) ); | ^~~~ src/aig/saig/saigIoa.c:58:26: note: directive argument in the range [0, 2147483647] 58 | sprintf( Buffer, "li%0*d", Abc_Base10Log(Saig_ManRegNum(p)), Aig_ObjCioId(pObj) - Saig_ManPoNum(p) ); | ^~~~~~~~ In file included from /usr/include/stdio.h:867, from ./src/aig/aig/aig.h:29, from src/aig/saig/saig.h:29, from src/aig/saig/saigIoa.c:22: /usr/include/x86_64-linux-gnu/bits/stdio2.h:36:10: note: ‘__builtin___sprintf_chk’ output between 4 and 2147483650 bytes into a destination of size 16 36 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 37 | __bos (__s), __fmt, __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ src/aig/saig/saigIoa.c:56:29: warning: ‘%0*d’ directive writing between 1 and 2147483647 bytes into a region of size 14 [-Wformat-overflow=] 56 | sprintf( Buffer, "lo%0*d", Abc_Base10Log(Saig_ManRegNum(p)), Aig_ObjCioId(pObj) - Saig_ManPiNum(p) ); | ^~~~ src/aig/saig/saigIoa.c:56:26: note: directive argument in the range [0, 2147483647] 56 | sprintf( Buffer, "lo%0*d", Abc_Base10Log(Saig_ManRegNum(p)), Aig_ObjCioId(pObj) - Saig_ManPiNum(p) ); | ^~~~~~~~ In file included from /usr/include/stdio.h:867, from ./src/aig/aig/aig.h:29, from src/aig/saig/saig.h:29, from src/aig/saig/saigIoa.c:22: /usr/include/x86_64-linux-gnu/bits/stdio2.h:36:10: note: ‘__builtin___sprintf_chk’ output between 4 and 2147483650 bytes into a destination of size 16 36 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 37 | __bos (__s), __fmt, __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ABC: `` Compiling: /src/aig/saig/saigIso.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIso.c -o src/aig/saig/saigIso.o ABC: `` Compiling: /src/aig/saig/saigIsoFast.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIsoFast.c -o src/aig/saig/saigIsoFast.o ABC: `` Compiling: /src/aig/saig/saigIsoSlow.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigIsoSlow.c -o src/aig/saig/saigIsoSlow.o ABC: `` Compiling: /src/aig/saig/saigMiter.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigMiter.c -o src/aig/saig/saigMiter.o ABC: `` Compiling: /src/aig/saig/saigOutDec.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigOutDec.c -o src/aig/saig/saigOutDec.o ABC: `` Compiling: /src/aig/saig/saigPhase.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigPhase.c -o src/aig/saig/saigPhase.o ABC: `` Compiling: /src/aig/saig/saigRetFwd.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetFwd.c -o src/aig/saig/saigRetFwd.o ABC: `` Compiling: /src/aig/saig/saigRetMin.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetMin.c -o src/aig/saig/saigRetMin.o ABC: `` Compiling: /src/aig/saig/saigRetStep.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigRetStep.c -o src/aig/saig/saigRetStep.o ABC: `` Compiling: /src/aig/saig/saigScl.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigScl.c -o src/aig/saig/saigScl.o ABC: `` Compiling: /src/aig/saig/saigSimFast.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSimFast.c -o src/aig/saig/saigSimFast.o ABC: `` Compiling: /src/aig/saig/saigSimMv.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSimMv.c -o src/aig/saig/saigSimMv.o ABC: `` Compiling: /src/aig/saig/saigSimSeq.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSimSeq.c -o src/aig/saig/saigSimSeq.o ABC: `` Compiling: /src/aig/saig/saigStrSim.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigStrSim.c -o src/aig/saig/saigStrSim.o ABC: `` Compiling: /src/aig/saig/saigSwitch.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSwitch.c -o src/aig/saig/saigSwitch.o ABC: `` Compiling: /src/aig/saig/saigSynch.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigSynch.c -o src/aig/saig/saigSynch.o ABC: `` Compiling: /src/aig/saig/saigTempor.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigTempor.c -o src/aig/saig/saigTempor.o ABC: `` Compiling: /src/aig/saig/saigTrans.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigTrans.c -o src/aig/saig/saigTrans.o ABC: `` Compiling: /src/aig/saig/saigWnd.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/saig/saigWnd.c -o src/aig/saig/saigWnd.o ABC: `` Compiling: /src/aig/gia/giaAig.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAig.c -o src/aig/gia/giaAig.o ABC: `` Compiling: /src/aig/gia/giaAgi.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAgi.c -o src/aig/gia/giaAgi.o ABC: `` Compiling: /src/aig/gia/giaAiger.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAiger.c -o src/aig/gia/giaAiger.o ABC: `` Compiling: /src/aig/gia/giaAigerExt.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaAigerExt.c -o src/aig/gia/giaAigerExt.o ABC: `` Compiling: /src/aig/gia/giaBalAig.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBalAig.c -o src/aig/gia/giaBalAig.o ABC: `` Compiling: /src/aig/gia/giaBalLut.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBalLut.c -o src/aig/gia/giaBalLut.o ABC: `` Compiling: /src/aig/gia/giaBalMap.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBalMap.c -o src/aig/gia/giaBalMap.o ABC: `` Compiling: /src/aig/gia/giaBidec.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaBidec.c -o src/aig/gia/giaBidec.o ABC: `` Compiling: /src/aig/gia/giaCCof.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCCof.c -o src/aig/gia/giaCCof.o ABC: `` Compiling: /src/aig/gia/giaCex.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCex.c -o src/aig/gia/giaCex.o ABC: `` Compiling: /src/aig/gia/giaClp.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaClp.c -o src/aig/gia/giaClp.o ABC: `` Compiling: /src/aig/gia/giaCof.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCof.c -o src/aig/gia/giaCof.o ABC: `` Compiling: /src/aig/gia/giaCone.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCone.c -o src/aig/gia/giaCone.o ABC: `` Compiling: /src/aig/gia/giaCSatOld.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSatOld.c -o src/aig/gia/giaCSatOld.o ABC: `` Compiling: /src/aig/gia/giaCSat.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSat.c -o src/aig/gia/giaCSat.o ABC: `` Compiling: /src/aig/gia/giaCSat2.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCSat2.c -o src/aig/gia/giaCSat2.o ABC: `` Compiling: /src/aig/gia/giaCTas.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCTas.c -o src/aig/gia/giaCTas.o ABC: `` Compiling: /src/aig/gia/giaCut.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaCut.c -o src/aig/gia/giaCut.o ABC: `` Compiling: /src/aig/gia/giaDfs.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDfs.c -o src/aig/gia/giaDfs.o ABC: `` Compiling: /src/aig/gia/giaDup.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaDup.c -o src/aig/gia/giaDup.o ABC: `` Compiling: /src/aig/gia/giaEdge.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEdge.c -o src/aig/gia/giaEdge.o ABC: `` Compiling: /src/aig/gia/giaEmbed.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEmbed.c -o src/aig/gia/giaEmbed.o ABC: `` Compiling: /src/aig/gia/giaEnable.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEnable.c -o src/aig/gia/giaEnable.o ABC: `` Compiling: /src/aig/gia/giaEquiv.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEquiv.c -o src/aig/gia/giaEquiv.o ABC: `` Compiling: /src/aig/gia/giaEra.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEra.c -o src/aig/gia/giaEra.o ABC: `` Compiling: /src/aig/gia/giaEra2.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEra2.c -o src/aig/gia/giaEra2.o ABC: `` Compiling: /src/aig/gia/giaEsop.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaEsop.c -o src/aig/gia/giaEsop.o ABC: `` Compiling: /src/aig/gia/giaExist.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaExist.c -o src/aig/gia/giaExist.o ABC: `` Compiling: /src/aig/gia/giaFalse.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFalse.c -o src/aig/gia/giaFalse.o ABC: `` Compiling: /src/aig/gia/giaFanout.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFanout.c -o src/aig/gia/giaFanout.o ABC: `` Compiling: /src/aig/gia/giaForce.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaForce.c -o src/aig/gia/giaForce.o ABC: `` Compiling: /src/aig/gia/giaFrames.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFrames.c -o src/aig/gia/giaFrames.o ABC: `` Compiling: /src/aig/gia/giaFront.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFront.c -o src/aig/gia/giaFront.o ABC: `` Compiling: /src/aig/gia/giaFx.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaFx.c -o src/aig/gia/giaFx.o ABC: `` Compiling: /src/aig/gia/giaGig.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaGig.c -o src/aig/gia/giaGig.o ABC: `` Compiling: /src/aig/gia/giaGlitch.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaGlitch.c -o src/aig/gia/giaGlitch.o ABC: `` Compiling: /src/aig/gia/giaHash.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaHash.c -o src/aig/gia/giaHash.o ABC: `` Compiling: /src/aig/gia/giaIf.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIf.c -o src/aig/gia/giaIf.o ABC: `` Compiling: /src/aig/gia/giaIff.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIff.c -o src/aig/gia/giaIff.o ABC: `` Compiling: /src/aig/gia/giaIiff.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIiff.c -o src/aig/gia/giaIiff.o ABC: `` Compiling: /src/aig/gia/giaIso.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIso.c -o src/aig/gia/giaIso.o ABC: `` Compiling: /src/aig/gia/giaIso2.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIso2.c -o src/aig/gia/giaIso2.o ABC: `` Compiling: /src/aig/gia/giaIso3.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaIso3.c -o src/aig/gia/giaIso3.o ABC: `` Compiling: /src/aig/gia/giaJf.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaJf.c -o src/aig/gia/giaJf.o ABC: `` Compiling: /src/aig/gia/giaKf.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaKf.c -o src/aig/gia/giaKf.o ABC: `` Compiling: /src/aig/gia/giaLf.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaLf.c -o src/aig/gia/giaLf.o ABC: `` Compiling: /src/aig/gia/giaMf.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMf.c -o src/aig/gia/giaMf.o ABC: `` Compiling: /src/aig/gia/giaMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMan.c -o src/aig/gia/giaMan.o ABC: `` Compiling: /src/aig/gia/giaMem.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMem.c -o src/aig/gia/giaMem.o ABC: `` Compiling: /src/aig/gia/giaMfs.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMfs.c -o src/aig/gia/giaMfs.o ABC: `` Compiling: /src/aig/gia/giaMini.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMini.c -o src/aig/gia/giaMini.o ABC: `` Compiling: /src/aig/gia/giaMuxes.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaMuxes.c -o src/aig/gia/giaMuxes.o ABC: `` Compiling: /src/aig/gia/giaNf.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaNf.c -o src/aig/gia/giaNf.o ABC: `` Compiling: /src/aig/gia/giaOf.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaOf.c -o src/aig/gia/giaOf.o ABC: `` Compiling: /src/aig/gia/giaPack.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPack.c -o src/aig/gia/giaPack.o ABC: `` Compiling: /src/aig/gia/giaPat.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPat.c -o src/aig/gia/giaPat.o ABC: `` Compiling: /src/aig/gia/giaPf.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaPf.c -o src/aig/gia/giaPf.o ABC: `` Compiling: /src/aig/gia/giaQbf.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaQbf.c -o src/aig/gia/giaQbf.o ABC: `` Compiling: /src/aig/gia/giaResub.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaResub.c -o src/aig/gia/giaResub.o ABC: `` Compiling: /src/aig/gia/giaRetime.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaRetime.c -o src/aig/gia/giaRetime.o ABC: `` Compiling: /src/aig/gia/giaRex.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaRex.c -o src/aig/gia/giaRex.o ABC: `` Compiling: /src/aig/gia/giaSatEdge.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatEdge.c -o src/aig/gia/giaSatEdge.o ABC: `` Compiling: /src/aig/gia/giaSatLE.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatLE.c -o src/aig/gia/giaSatLE.o ABC: `` Compiling: /src/aig/gia/giaSatLut.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatLut.c -o src/aig/gia/giaSatLut.o ABC: `` Compiling: /src/aig/gia/giaSatMap.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatMap.c -o src/aig/gia/giaSatMap.o ABC: `` Compiling: /src/aig/gia/giaSatoko.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSatoko.c -o src/aig/gia/giaSatoko.o ABC: `` Compiling: /src/aig/gia/giaSat3.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSat3.c -o src/aig/gia/giaSat3.o ABC: `` Compiling: /src/aig/gia/giaScl.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaScl.c -o src/aig/gia/giaScl.o ABC: `` Compiling: /src/aig/gia/giaScript.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaScript.c -o src/aig/gia/giaScript.o ABC: `` Compiling: /src/aig/gia/giaShow.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShow.c -o src/aig/gia/giaShow.o ABC: `` Compiling: /src/aig/gia/giaShrink.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink.c -o src/aig/gia/giaShrink.o ABC: `` Compiling: /src/aig/gia/giaShrink6.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink6.c -o src/aig/gia/giaShrink6.o ABC: `` Compiling: /src/aig/gia/giaShrink7.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaShrink7.c -o src/aig/gia/giaShrink7.o ABC: `` Compiling: /src/aig/gia/giaSim.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSim.c -o src/aig/gia/giaSim.o ABC: `` Compiling: /src/aig/gia/giaSim2.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSim2.c -o src/aig/gia/giaSim2.o ABC: `` Compiling: /src/aig/gia/giaSort.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSort.c -o src/aig/gia/giaSort.o ABC: `` Compiling: /src/aig/gia/giaSpeedup.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSpeedup.c -o src/aig/gia/giaSpeedup.o ABC: `` Compiling: /src/aig/gia/giaSplit.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSplit.c -o src/aig/gia/giaSplit.o ABC: `` Compiling: /src/aig/gia/giaStg.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStg.c -o src/aig/gia/giaStg.o ABC: `` Compiling: /src/aig/gia/giaStr.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaStr.c -o src/aig/gia/giaStr.o ABC: `` Compiling: /src/aig/gia/giaSupMin.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupMin.c -o src/aig/gia/giaSupMin.o ABC: `` Compiling: /src/aig/gia/giaSupp.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSupp.c -o src/aig/gia/giaSupp.o ABC: `` Compiling: /src/aig/gia/giaSweep.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSweep.c -o src/aig/gia/giaSweep.o ABC: `` Compiling: /src/aig/gia/giaSweeper.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSweeper.c -o src/aig/gia/giaSweeper.o ABC: `` Compiling: /src/aig/gia/giaSwitch.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaSwitch.c -o src/aig/gia/giaSwitch.o ABC: `` Compiling: /src/aig/gia/giaTim.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTim.c -o src/aig/gia/giaTim.o ABC: `` Compiling: /src/aig/gia/giaTis.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTis.c -o src/aig/gia/giaTis.o ABC: `` Compiling: /src/aig/gia/giaTruth.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTruth.c -o src/aig/gia/giaTruth.o ABC: `` Compiling: /src/aig/gia/giaTsim.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaTsim.c -o src/aig/gia/giaTsim.o ABC: `` Compiling: /src/aig/gia/giaUnate.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaUnate.c -o src/aig/gia/giaUnate.o ABC: `` Compiling: /src/aig/gia/giaUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/gia/giaUtil.c -o src/aig/gia/giaUtil.o src/aig/gia/giaUtil.c: In function ‘Gia_AigerWriteLut’: src/aig/gia/giaUtil.c:2032:14: warning: dereferencing type-punned pointer will break strict-aliasing rules [-Wstrict-aliasing] 2032 | *(word *)pLuts[iLut].pTruth = Gia_LutComputeTruth6(p, i, vTruths); | ^~~~~~~~~~~~~~~~~~~~~~~~~~ ABC: `` Compiling: /src/aig/ioa/ioaReadAig.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaReadAig.c -o src/aig/ioa/ioaReadAig.o ABC: `` Compiling: /src/aig/ioa/ioaWriteAig.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaWriteAig.c -o src/aig/ioa/ioaWriteAig.o ABC: `` Compiling: /src/aig/ioa/ioaUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ioa/ioaUtil.c -o src/aig/ioa/ioaUtil.o ABC: `` Compiling: /src/aig/ivy/ivyBalance.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyBalance.c -o src/aig/ivy/ivyBalance.o ABC: `` Compiling: /src/aig/ivy/ivyCanon.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCanon.c -o src/aig/ivy/ivyCanon.o ABC: `` Compiling: /src/aig/ivy/ivyCheck.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCheck.c -o src/aig/ivy/ivyCheck.o ABC: `` Compiling: /src/aig/ivy/ivyCut.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCut.c -o src/aig/ivy/ivyCut.o ABC: `` Compiling: /src/aig/ivy/ivyCutTrav.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyCutTrav.c -o src/aig/ivy/ivyCutTrav.o ABC: `` Compiling: /src/aig/ivy/ivyDfs.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyDfs.c -o src/aig/ivy/ivyDfs.o ABC: `` Compiling: /src/aig/ivy/ivyDsd.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyDsd.c -o src/aig/ivy/ivyDsd.o ABC: `` Compiling: /src/aig/ivy/ivyFanout.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyFanout.c -o src/aig/ivy/ivyFanout.o ABC: `` Compiling: /src/aig/ivy/ivyFastMap.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyFastMap.c -o src/aig/ivy/ivyFastMap.o ABC: `` Compiling: /src/aig/ivy/ivyFraig.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyFraig.c -o src/aig/ivy/ivyFraig.o ABC: `` Compiling: /src/aig/ivy/ivyHaig.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyHaig.c -o src/aig/ivy/ivyHaig.o ABC: `` Compiling: /src/aig/ivy/ivyMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMan.c -o src/aig/ivy/ivyMan.o ABC: `` Compiling: /src/aig/ivy/ivyMem.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMem.c -o src/aig/ivy/ivyMem.o ABC: `` Compiling: /src/aig/ivy/ivyMulti.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyMulti.c -o src/aig/ivy/ivyMulti.o ABC: `` Compiling: /src/aig/ivy/ivyObj.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyObj.c -o src/aig/ivy/ivyObj.o ABC: `` Compiling: /src/aig/ivy/ivyOper.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyOper.c -o src/aig/ivy/ivyOper.o ABC: `` Compiling: /src/aig/ivy/ivyResyn.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyResyn.c -o src/aig/ivy/ivyResyn.o ABC: `` Compiling: /src/aig/ivy/ivyRwr.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyRwr.c -o src/aig/ivy/ivyRwr.o ABC: `` Compiling: /src/aig/ivy/ivySeq.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivySeq.c -o src/aig/ivy/ivySeq.o ABC: `` Compiling: /src/aig/ivy/ivyShow.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyShow.c -o src/aig/ivy/ivyShow.o ABC: `` Compiling: /src/aig/ivy/ivyTable.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyTable.c -o src/aig/ivy/ivyTable.o ABC: `` Compiling: /src/aig/ivy/ivyUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/ivy/ivyUtil.c -o src/aig/ivy/ivyUtil.o ABC: `` Compiling: /src/aig/hop/hopBalance.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopBalance.c -o src/aig/hop/hopBalance.o ABC: `` Compiling: /src/aig/hop/hopCheck.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopCheck.c -o src/aig/hop/hopCheck.o ABC: `` Compiling: /src/aig/hop/hopDfs.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopDfs.c -o src/aig/hop/hopDfs.o ABC: `` Compiling: /src/aig/hop/hopMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopMan.c -o src/aig/hop/hopMan.o ABC: `` Compiling: /src/aig/hop/hopMem.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopMem.c -o src/aig/hop/hopMem.o ABC: `` Compiling: /src/aig/hop/hopObj.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopObj.c -o src/aig/hop/hopObj.o ABC: `` Compiling: /src/aig/hop/hopOper.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopOper.c -o src/aig/hop/hopOper.o ABC: `` Compiling: /src/aig/hop/hopTable.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopTable.c -o src/aig/hop/hopTable.o ABC: `` Compiling: /src/aig/hop/hopTruth.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopTruth.c -o src/aig/hop/hopTruth.o ABC: `` Compiling: /src/aig/hop/hopUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/aig/hop/hopUtil.c -o src/aig/hop/hopUtil.o ABC: `` Compiling: /src/bdd/cudd/cuddAPI.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAPI.c -o src/bdd/cudd/cuddAPI.o ABC: `` Compiling: /src/bdd/cudd/cuddAddAbs.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddAbs.c -o src/bdd/cudd/cuddAddAbs.o ABC: `` Compiling: /src/bdd/cudd/cuddAddApply.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddApply.c -o src/bdd/cudd/cuddAddApply.o ABC: `` Compiling: /src/bdd/cudd/cuddAddFind.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddFind.c -o src/bdd/cudd/cuddAddFind.o ABC: `` Compiling: /src/bdd/cudd/cuddAddInv.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddInv.c -o src/bdd/cudd/cuddAddInv.o ABC: `` Compiling: /src/bdd/cudd/cuddAddIte.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddIte.c -o src/bdd/cudd/cuddAddIte.o ABC: `` Compiling: /src/bdd/cudd/cuddAddNeg.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddNeg.c -o src/bdd/cudd/cuddAddNeg.o ABC: `` Compiling: /src/bdd/cudd/cuddAddWalsh.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAddWalsh.c -o src/bdd/cudd/cuddAddWalsh.o ABC: `` Compiling: /src/bdd/cudd/cuddAndAbs.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAndAbs.c -o src/bdd/cudd/cuddAndAbs.o ABC: `` Compiling: /src/bdd/cudd/cuddAnneal.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddAnneal.c -o src/bdd/cudd/cuddAnneal.o ABC: `` Compiling: /src/bdd/cudd/cuddApa.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddApa.c -o src/bdd/cudd/cuddApa.o ABC: `` Compiling: /src/bdd/cudd/cuddApprox.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddApprox.c -o src/bdd/cudd/cuddApprox.o ABC: `` Compiling: /src/bdd/cudd/cuddBddAbs.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddAbs.c -o src/bdd/cudd/cuddBddAbs.o ABC: `` Compiling: /src/bdd/cudd/cuddBddCorr.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddCorr.c -o src/bdd/cudd/cuddBddCorr.o ABC: `` Compiling: /src/bdd/cudd/cuddBddIte.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBddIte.c -o src/bdd/cudd/cuddBddIte.o ABC: `` Compiling: /src/bdd/cudd/cuddBridge.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddBridge.c -o src/bdd/cudd/cuddBridge.o ABC: `` Compiling: /src/bdd/cudd/cuddCache.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCache.c -o src/bdd/cudd/cuddCache.o ABC: `` Compiling: /src/bdd/cudd/cuddCheck.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCheck.c -o src/bdd/cudd/cuddCheck.o ABC: `` Compiling: /src/bdd/cudd/cuddClip.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddClip.c -o src/bdd/cudd/cuddClip.o ABC: `` Compiling: /src/bdd/cudd/cuddCof.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCof.c -o src/bdd/cudd/cuddCof.o ABC: `` Compiling: /src/bdd/cudd/cuddCompose.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddCompose.c -o src/bdd/cudd/cuddCompose.o ABC: `` Compiling: /src/bdd/cudd/cuddDecomp.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddDecomp.c -o src/bdd/cudd/cuddDecomp.o ABC: `` Compiling: /src/bdd/cudd/cuddEssent.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddEssent.c -o src/bdd/cudd/cuddEssent.o ABC: `` Compiling: /src/bdd/cudd/cuddExact.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddExact.c -o src/bdd/cudd/cuddExact.o ABC: `` Compiling: /src/bdd/cudd/cuddExport.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddExport.c -o src/bdd/cudd/cuddExport.o ABC: `` Compiling: /src/bdd/cudd/cuddGenCof.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGenCof.c -o src/bdd/cudd/cuddGenCof.o ABC: `` Compiling: /src/bdd/cudd/cuddGenetic.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGenetic.c -o src/bdd/cudd/cuddGenetic.o ABC: `` Compiling: /src/bdd/cudd/cuddGroup.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddGroup.c -o src/bdd/cudd/cuddGroup.o ABC: `` Compiling: /src/bdd/cudd/cuddHarwell.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddHarwell.c -o src/bdd/cudd/cuddHarwell.o ABC: `` Compiling: /src/bdd/cudd/cuddInit.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddInit.c -o src/bdd/cudd/cuddInit.o ABC: `` Compiling: /src/bdd/cudd/cuddInteract.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddInteract.c -o src/bdd/cudd/cuddInteract.o ABC: `` Compiling: /src/bdd/cudd/cuddLCache.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLCache.c -o src/bdd/cudd/cuddLCache.o ABC: `` Compiling: /src/bdd/cudd/cuddLevelQ.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLevelQ.c -o src/bdd/cudd/cuddLevelQ.o ABC: `` Compiling: /src/bdd/cudd/cuddLinear.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLinear.c -o src/bdd/cudd/cuddLinear.o ABC: `` Compiling: /src/bdd/cudd/cuddLiteral.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddLiteral.c -o src/bdd/cudd/cuddLiteral.o ABC: `` Compiling: /src/bdd/cudd/cuddMatMult.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddMatMult.c -o src/bdd/cudd/cuddMatMult.o ABC: `` Compiling: /src/bdd/cudd/cuddPriority.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddPriority.c -o src/bdd/cudd/cuddPriority.o ABC: `` Compiling: /src/bdd/cudd/cuddRead.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddRead.c -o src/bdd/cudd/cuddRead.o ABC: `` Compiling: /src/bdd/cudd/cuddRef.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddRef.c -o src/bdd/cudd/cuddRef.o ABC: `` Compiling: /src/bdd/cudd/cuddReorder.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddReorder.c -o src/bdd/cudd/cuddReorder.o ABC: `` Compiling: /src/bdd/cudd/cuddSat.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSat.c -o src/bdd/cudd/cuddSat.o ABC: `` Compiling: /src/bdd/cudd/cuddSign.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSign.c -o src/bdd/cudd/cuddSign.o ABC: `` Compiling: /src/bdd/cudd/cuddSolve.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSolve.c -o src/bdd/cudd/cuddSolve.o ABC: `` Compiling: /src/bdd/cudd/cuddSplit.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSplit.c -o src/bdd/cudd/cuddSplit.o ABC: `` Compiling: /src/bdd/cudd/cuddSubsetHB.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSubsetHB.c -o src/bdd/cudd/cuddSubsetHB.o ABC: `` Compiling: /src/bdd/cudd/cuddSubsetSP.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSubsetSP.c -o src/bdd/cudd/cuddSubsetSP.o ABC: `` Compiling: /src/bdd/cudd/cuddSymmetry.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddSymmetry.c -o src/bdd/cudd/cuddSymmetry.o ABC: `` Compiling: /src/bdd/cudd/cuddTable.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddTable.c -o src/bdd/cudd/cuddTable.o ABC: `` Compiling: /src/bdd/cudd/cuddUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddUtil.c -o src/bdd/cudd/cuddUtil.o ABC: `` Compiling: /src/bdd/cudd/cuddWindow.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddWindow.c -o src/bdd/cudd/cuddWindow.o ABC: `` Compiling: /src/bdd/cudd/cuddZddCount.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddCount.c -o src/bdd/cudd/cuddZddCount.o ABC: `` Compiling: /src/bdd/cudd/cuddZddFuncs.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddFuncs.c -o src/bdd/cudd/cuddZddFuncs.o ABC: `` Compiling: /src/bdd/cudd/cuddZddGroup.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddGroup.c -o src/bdd/cudd/cuddZddGroup.o ABC: `` Compiling: /src/bdd/cudd/cuddZddIsop.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddIsop.c -o src/bdd/cudd/cuddZddIsop.o ABC: `` Compiling: /src/bdd/cudd/cuddZddLin.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddLin.c -o src/bdd/cudd/cuddZddLin.o ABC: `` Compiling: /src/bdd/cudd/cuddZddMisc.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddMisc.c -o src/bdd/cudd/cuddZddMisc.o ABC: `` Compiling: /src/bdd/cudd/cuddZddPort.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddPort.c -o src/bdd/cudd/cuddZddPort.o ABC: `` Compiling: /src/bdd/cudd/cuddZddReord.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddReord.c -o src/bdd/cudd/cuddZddReord.o ABC: `` Compiling: /src/bdd/cudd/cuddZddSetop.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddSetop.c -o src/bdd/cudd/cuddZddSetop.o ABC: `` Compiling: /src/bdd/cudd/cuddZddSymm.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddSymm.c -o src/bdd/cudd/cuddZddSymm.o ABC: `` Compiling: /src/bdd/cudd/cuddZddUtil.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cudd/cuddZddUtil.c -o src/bdd/cudd/cuddZddUtil.o ABC: `` Compiling: /src/bdd/extrab/extraBddAuto.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddAuto.c -o src/bdd/extrab/extraBddAuto.o ABC: `` Compiling: /src/bdd/extrab/extraBddCas.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddCas.c -o src/bdd/extrab/extraBddCas.o ABC: `` Compiling: /src/bdd/extrab/extraBddImage.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddImage.c -o src/bdd/extrab/extraBddImage.o ABC: `` Compiling: /src/bdd/extrab/extraBddKmap.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddKmap.c -o src/bdd/extrab/extraBddKmap.o ABC: `` Compiling: /src/bdd/extrab/extraBddMaxMin.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddMaxMin.c -o src/bdd/extrab/extraBddMaxMin.o ABC: `` Compiling: /src/bdd/extrab/extraBddMisc.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddMisc.c -o src/bdd/extrab/extraBddMisc.o ABC: `` Compiling: /src/bdd/extrab/extraBddSet.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddSet.c -o src/bdd/extrab/extraBddSet.o ABC: `` Compiling: /src/bdd/extrab/extraBddSymm.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddSymm.c -o src/bdd/extrab/extraBddSymm.o ABC: `` Compiling: /src/bdd/extrab/extraBddThresh.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddThresh.c -o src/bdd/extrab/extraBddThresh.o ABC: `` Compiling: /src/bdd/extrab/extraBddTime.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddTime.c -o src/bdd/extrab/extraBddTime.o ABC: `` Compiling: /src/bdd/extrab/extraBddUnate.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/extrab/extraBddUnate.c -o src/bdd/extrab/extraBddUnate.o ABC: `` Compiling: /src/bdd/dsd/dsdApi.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdApi.c -o src/bdd/dsd/dsdApi.o ABC: `` Compiling: /src/bdd/dsd/dsdCheck.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdCheck.c -o src/bdd/dsd/dsdCheck.o ABC: `` Compiling: /src/bdd/dsd/dsdLocal.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdLocal.c -o src/bdd/dsd/dsdLocal.o ABC: `` Compiling: /src/bdd/dsd/dsdMan.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdMan.c -o src/bdd/dsd/dsdMan.o ABC: `` Compiling: /src/bdd/dsd/dsdProc.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdProc.c -o src/bdd/dsd/dsdProc.o ABC: `` Compiling: /src/bdd/dsd/dsdTree.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/dsd/dsdTree.c -o src/bdd/dsd/dsdTree.o ABC: `` Compiling: /src/bdd/epd/epd.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/epd/epd.c -o src/bdd/epd/epd.o ABC: `` Compiling: /src/bdd/mtr/mtrBasic.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/mtr/mtrBasic.c -o src/bdd/mtr/mtrBasic.o ABC: `` Compiling: /src/bdd/mtr/mtrGroup.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/mtr/mtrGroup.c -o src/bdd/mtr/mtrGroup.o ABC: `` Compiling: /src/bdd/reo/reoApi.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoApi.c -o src/bdd/reo/reoApi.o ABC: `` Compiling: /src/bdd/reo/reoCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoCore.c -o src/bdd/reo/reoCore.o ABC: `` Compiling: /src/bdd/reo/reoProfile.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoProfile.c -o src/bdd/reo/reoProfile.o ABC: `` Compiling: /src/bdd/reo/reoShuffle.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoShuffle.c -o src/bdd/reo/reoShuffle.o ABC: `` Compiling: /src/bdd/reo/reoSift.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoSift.c -o src/bdd/reo/reoSift.o ABC: `` Compiling: /src/bdd/reo/reoSwap.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoSwap.c -o src/bdd/reo/reoSwap.o ABC: `` Compiling: /src/bdd/reo/reoTransfer.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoTransfer.c -o src/bdd/reo/reoTransfer.o ABC: `` Compiling: /src/bdd/reo/reoUnits.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/reo/reoUnits.c -o src/bdd/reo/reoUnits.o ABC: `` Compiling: /src/bdd/cas/casCore.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cas/casCore.c -o src/bdd/cas/casCore.o ABC: `` Compiling: /src/bdd/cas/casDec.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/cas/casDec.c -o src/bdd/cas/casDec.o ABC: `` Compiling: /src/bdd/bbr/bbrCex.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrCex.c -o src/bdd/bbr/bbrCex.o ABC: `` Compiling: /src/bdd/bbr/bbrImage.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrImage.c -o src/bdd/bbr/bbrImage.o ABC: `` Compiling: /src/bdd/bbr/bbrNtbdd.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrNtbdd.c -o src/bdd/bbr/bbrNtbdd.o ABC: `` Compiling: /src/bdd/bbr/bbrReach.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/bbr/bbrReach.c -o src/bdd/bbr/bbrReach.o ABC: `` Compiling: /src/bdd/llb/llb1Cluster.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Cluster.c -o src/bdd/llb/llb1Cluster.o ABC: `` Compiling: /src/bdd/llb/llb1Constr.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Constr.c -o src/bdd/llb/llb1Constr.o ABC: `` Compiling: /src/bdd/llb/llb1Core.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Core.c -o src/bdd/llb/llb1Core.o ABC: `` Compiling: /src/bdd/llb/llb1Group.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Group.c -o src/bdd/llb/llb1Group.o ABC: `` Compiling: /src/bdd/llb/llb1Hint.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Hint.c -o src/bdd/llb/llb1Hint.o ABC: `` Compiling: /src/bdd/llb/llb1Man.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Man.c -o src/bdd/llb/llb1Man.o ABC: `` Compiling: /src/bdd/llb/llb1Matrix.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Matrix.c -o src/bdd/llb/llb1Matrix.o ABC: `` Compiling: /src/bdd/llb/llb1Pivot.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Pivot.c -o src/bdd/llb/llb1Pivot.o ABC: `` Compiling: /src/bdd/llb/llb1Reach.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Reach.c -o src/bdd/llb/llb1Reach.o ABC: `` Compiling: /src/bdd/llb/llb1Sched.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb1Sched.c -o src/bdd/llb/llb1Sched.o ABC: `` Compiling: /src/bdd/llb/llb2Bad.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Bad.c -o src/bdd/llb/llb2Bad.o ABC: `` Compiling: /src/bdd/llb/llb2Core.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Core.c -o src/bdd/llb/llb2Core.o ABC: `` Compiling: /src/bdd/llb/llb2Driver.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Driver.c -o src/bdd/llb/llb2Driver.o ABC: `` Compiling: /src/bdd/llb/llb2Dump.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Dump.c -o src/bdd/llb/llb2Dump.o src/bdd/llb/llb2Dump.c: In function ‘Llb_ManDumpReached’: src/bdd/llb/llb2Dump.c:48:25: warning: ‘%0*d’ directive writing between 1 and 2147483647 bytes into a region of size 1998 [-Wformat-overflow=] 48 | sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num ); | ^~~~ src/bdd/llb/llb2Dump.c:48:22: note: directive argument in the range [0, 2147483647] 48 | sprintf( Buffer, "%s%0*d", pPrefix, nDigits, Num ); | ^~~~~~~~ In file included from /usr/include/stdio.h:867, from src/bdd/llb/llbInt.h:29, from src/bdd/llb/llb2Dump.c:21: /usr/include/x86_64-linux-gnu/bits/stdio2.h:36:10: note: ‘__builtin___sprintf_chk’ output between 4 and 2147483650 bytes into a destination of size 2000 36 | return __builtin___sprintf_chk (__s, __USE_FORTIFY_LEVEL - 1, | ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ 37 | __bos (__s), __fmt, __va_arg_pack ()); | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ABC: `` Compiling: /src/bdd/llb/llb2Flow.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Flow.c -o src/bdd/llb/llb2Flow.o ABC: `` Compiling: /src/bdd/llb/llb2Image.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb2Image.c -o src/bdd/llb/llb2Image.o ABC: `` Compiling: /src/bdd/llb/llb3Image.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb3Image.c -o src/bdd/llb/llb3Image.o ABC: `` Compiling: /src/bdd/llb/llb3Nonlin.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb3Nonlin.c -o src/bdd/llb/llb3Nonlin.o ABC: `` Compiling: /src/bdd/llb/llb4Cex.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Cex.c -o src/bdd/llb/llb4Cex.o ABC: `` Compiling: /src/bdd/llb/llb4Image.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Image.c -o src/bdd/llb/llb4Image.o ABC: `` Compiling: /src/bdd/llb/llb4Nonlin.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Nonlin.c -o src/bdd/llb/llb4Nonlin.o ABC: `` Compiling: /src/bdd/llb/llb4Sweep.c gcc -c -g -O -I./src -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -fdebug-prefix-map=/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg=. -fstack-protector-strong -Wformat -Werror=format-security -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare -DABC_USE_STDINT_H=1 -DABC_USE_CUDD=1 -DABC_USE_READLINE -DABC_USE_PTHREADS -Wno-unused-but-set-variable src/bdd/llb/llb4Sweep.c -o src/bdd/llb/llb4Sweep.o ABC: `` Building binary: abc g++ -o abc src/sat/glucose/AbcGlucose.o src/sat/glucose/AbcGlucoseCmd.o src/sat/glucose/Glucose.o src/sat/glucose/Options.o src/sat/glucose/SimpSolver.o src/sat/glucose/System.o src/base/abc/abcAig.o src/base/abc/abcBarBuf.o src/base/abc/abcBlifMv.o src/base/abc/abcCheck.o src/base/abc/abcDfs.o src/base/abc/abcFanio.o src/base/abc/abcFanOrder.o src/base/abc/abcFunc.o src/base/abc/abcHie.o src/base/abc/abcHieCec.o src/base/abc/abcHieGia.o src/base/abc/abcHieNew.o src/base/abc/abcLatch.o src/base/abc/abcLib.o src/base/abc/abcMinBase.o src/base/abc/abcNames.o src/base/abc/abcNetlist.o src/base/abc/abcNtk.o src/base/abc/abcObj.o src/base/abc/abcRefs.o src/base/abc/abcShow.o src/base/abc/abcSop.o src/base/abc/abcUtil.o src/base/abci/abc.o src/base/abci/abcAttach.o src/base/abci/abcAuto.o src/base/abci/abcBalance.o src/base/abci/abcBidec.o src/base/abci/abcBm.o src/base/abci/abcBmc.o src/base/abci/abcCas.o src/base/abci/abcCascade.o src/base/abci/abcCollapse.o src/base/abci/abcCut.o src/base/abci/abcDar.o src/base/abci/abcDebug.o src/base/abci/abcDec.o src/base/abci/abcDetect.o src/base/abci/abcDress.o src/base/abci/abcDress2.o src/base/abci/abcDress3.o src/base/abci/abcDsd.o src/base/abci/abcEco.o src/base/abci/abcExact.o src/base/abci/abcExtract.o src/base/abci/abcFraig.o src/base/abci/abcFx.o src/base/abci/abcFxu.o src/base/abci/abcGen.o src/base/abci/abcHaig.o src/base/abci/abcIf.o src/base/abci/abcIfif.o src/base/abci/abcIfMux.o src/base/abci/abcIvy.o src/base/abci/abcLog.o src/base/abci/abcLut.o src/base/abci/abcLutmin.o src/base/abci/abcMap.o src/base/abci/abcMerge.o src/base/abci/abcMfs.o src/base/abci/abcMini.o src/base/abci/abcMiter.o src/base/abci/abcMulti.o src/base/abci/abcNtbdd.o src/base/abci/abcNpn.o src/base/abci/abcNpnSave.o src/base/abci/abcOdc.o src/base/abci/abcOrder.o src/base/abci/abcPart.o src/base/abci/abcPrint.o src/base/abci/abcProve.o src/base/abci/abcQbf.o src/base/abci/abcQuant.o src/base/abci/abcRec3.o src/base/abci/abcReconv.o src/base/abci/abcReach.o src/base/abci/abcRefactor.o src/base/abci/abcRenode.o src/base/abci/abcReorder.o src/base/abci/abcRestruct.o src/base/abci/abcResub.o src/base/abci/abcRewrite.o src/base/abci/abcRpo.o src/base/abci/abcRr.o src/base/abci/abcRunGen.o src/base/abci/abcSat.o src/base/abci/abcSaucy.o src/base/abci/abcScorr.o src/base/abci/abcSense.o src/base/abci/abcSpeedup.o src/base/abci/abcStrash.o src/base/abci/abcSweep.o src/base/abci/abcSymm.o src/base/abci/abcTim.o src/base/abci/abcTiming.o src/base/abci/abcUnate.o src/base/abci/abcUnreach.o src/base/abci/abcVerify.o src/base/abci/abcXsim.o src/base/cmd/cmd.o src/base/cmd/cmdAlias.o src/base/cmd/cmdApi.o src/base/cmd/cmdAuto.o src/base/cmd/cmdFlag.o src/base/cmd/cmdHist.o src/base/cmd/cmdLoad.o src/base/cmd/cmdPlugin.o src/base/cmd/cmdStarter.o src/base/cmd/cmdUtils.o src/base/io/io.o src/base/io/ioJson.o src/base/io/ioReadAiger.o src/base/io/ioReadBaf.o src/base/io/ioReadBblif.o src/base/io/ioReadBench.o src/base/io/ioReadBlif.o src/base/io/ioReadBlifAig.o src/base/io/ioReadBlifMv.o src/base/io/ioReadDsd.o src/base/io/ioReadEdif.o src/base/io/ioReadEqn.o src/base/io/ioReadPla.o src/base/io/ioReadPlaMo.o src/base/io/ioReadVerilog.o src/base/io/ioUtil.o src/base/io/ioWriteAiger.o src/base/io/ioWriteBaf.o src/base/io/ioWriteBblif.o src/base/io/ioWriteBench.o src/base/io/ioWriteBlif.o src/base/io/ioWriteBlifMv.o src/base/io/ioWriteBook.o src/base/io/ioWriteCnf.o src/base/io/ioWriteDot.o src/base/io/ioWriteEqn.o src/base/io/ioWriteGml.o src/base/io/ioWriteList.o src/base/io/ioWritePla.o src/base/io/ioWriteVerilog.o src/base/io/ioWriteSmv.o src/base/main/main.o src/base/main/mainFrame.o src/base/main/mainInit.o src/base/main/mainLib.o src/base/main/mainReal.o src/base/main/libSupport.o src/base/main/mainUtils.o src/base/exor/exor.o src/base/exor/exorBits.o src/base/exor/exorCubes.o src/base/exor/exorLink.o src/base/exor/exorList.o src/base/exor/exorUtil.o src/base/ver/verCore.o src/base/ver/verFormula.o src/base/ver/verParse.o src/base/ver/verStream.o src/base/wlc/wlcAbs.o src/base/wlc/wlcAbs2.o src/base/wlc/wlcAbc.o src/base/wlc/wlcPth.o src/base/wlc/wlcBlast.o src/base/wlc/wlcCom.o src/base/wlc/wlcGraft.o src/base/wlc/wlcJson.o src/base/wlc/wlcMem.o src/base/wlc/wlcNdr.o src/base/wlc/wlcNtk.o src/base/wlc/wlcReadSmt.o src/base/wlc/wlcReadVer.o src/base/wlc/wlcSim.o src/base/wlc/wlcShow.o src/base/wlc/wlcStdin.o src/base/wlc/wlcUif.o src/base/wlc/wlcWin.o src/base/wlc/wlcWriteVer.o src/base/wln/wln.o src/base/wln/wlnMem.o src/base/wln/wlnNdr.o src/base/wln/wlnNtk.o src/base/wln/wlnObj.o src/base/wln/wlnRetime.o src/base/wln/wlnWlc.o src/base/wln/wlnWriteVer.o src/base/acb/acbAbc.o src/base/acb/acbAig.o src/base/acb/acbCom.o src/base/acb/acbFunc.o src/base/acb/acbMfs.o src/base/acb/acbPush.o src/base/acb/acbSets.o src/base/acb/acbUtil.o src/base/bac/bacBlast.o src/base/bac/bacBac.o src/base/bac/bacCom.o src/base/bac/bacLib.o src/base/bac/bacNtk.o src/base/bac/bacPrsBuild.o src/base/bac/bacPrsTrans.o src/base/bac/bacPtr.o src/base/bac/bacPtrAbc.o src/base/bac/bacReadBlif.o src/base/bac/bacReadSmt.o src/base/bac/bacReadVer.o src/base/bac/bacWriteBlif.o src/base/bac/bacWriteSmt.o src/base/bac/bacWriteVer.o src/base/cba/cbaBlast.o src/base/cba/cbaCba.o src/base/cba/cbaCom.o src/base/cba/cbaNtk.o src/base/cba/cbaReadBlif.o src/base/cba/cbaReadVer.o src/base/cba/cbaWriteBlif.o src/base/cba/cbaWriteVer.o src/base/pla/plaCom.o src/base/pla/plaHash.o src/base/pla/plaMan.o src/base/pla/plaMerge.o src/base/pla/plaSimple.o src/base/pla/plaRead.o src/base/pla/plaWrite.o src/base/test/test.o src/map/mapper/mapper.o src/map/mapper/mapperCanon.o src/map/mapper/mapperCore.o src/map/mapper/mapperCreate.o src/map/mapper/mapperCut.o src/map/mapper/mapperCutUtils.o src/map/mapper/mapperLib.o src/map/mapper/mapperMatch.o src/map/mapper/mapperRefs.o src/map/mapper/mapperSuper.o src/map/mapper/mapperSwitch.o src/map/mapper/mapperTable.o src/map/mapper/mapperTime.o src/map/mapper/mapperTree.o src/map/mapper/mapperTruth.o src/map/mapper/mapperUtils.o src/map/mapper/mapperVec.o src/map/mio/mio.o src/map/mio/mioApi.o src/map/mio/mioFunc.o src/map/mio/mioParse.o src/map/mio/mioRead.o src/map/mio/mioSop.o src/map/mio/mioUtils.o src/map/super/super.o src/map/super/superAnd.o src/map/super/superGate.o src/map/if/ifCom.o src/map/if/ifCache.o src/map/if/ifCore.o src/map/if/ifCut.o src/map/if/ifData2.o src/map/if/ifDec07.o src/map/if/ifDec08.o src/map/if/ifDec10.o src/map/if/ifDec16.o src/map/if/ifDec75.o src/map/if/ifDelay.o src/map/if/ifDsd.o src/map/if/ifLibBox.o src/map/if/ifLibLut.o src/map/if/ifMan.o src/map/if/ifMap.o src/map/if/ifMatch2.o src/map/if/ifReduce.o src/map/if/ifSat.o src/map/if/ifSelect.o src/map/if/ifSeq.o src/map/if/ifTest.o src/map/if/ifTime.o src/map/if/ifTruth.o src/map/if/ifTune.o src/map/if/ifUtil.o src/map/amap/amapCore.o src/map/amap/amapGraph.o src/map/amap/amapLib.o src/map/amap/amapLiberty.o src/map/amap/amapMan.o src/map/amap/amapMatch.o src/map/amap/amapMerge.o src/map/amap/amapOutput.o src/map/amap/amapParse.o src/map/amap/amapPerm.o src/map/amap/amapRead.o src/map/amap/amapRule.o src/map/amap/amapUniq.o src/map/cov/covBuild.o src/map/cov/covCore.o src/map/cov/covMan.o src/map/cov/covMinEsop.o src/map/cov/covMinMan.o src/map/cov/covMinSop.o src/map/cov/covMinUtil.o src/map/scl/scl.o src/map/scl/sclBuffer.o src/map/scl/sclBufSize.o src/map/scl/sclDnsize.o src/map/scl/sclLiberty.o src/map/scl/sclLibScl.o src/map/scl/sclLibUtil.o src/map/scl/sclLoad.o src/map/scl/sclSize.o src/map/scl/sclUpsize.o src/map/scl/sclUtil.o src/map/mpm/mpmAbc.o src/map/mpm/mpmCore.o src/map/mpm/mpmDsd.o src/map/mpm/mpmGates.o src/map/mpm/mpmLib.o src/map/mpm/mpmMan.o src/map/mpm/mpmMap.o src/map/mpm/mpmMig.o src/map/mpm/mpmPre.o src/map/mpm/mpmTruth.o src/map/mpm/mpmUtil.o src/misc/extra/extraUtilBitMatrix.o src/misc/extra/extraUtilCanon.o src/misc/extra/extraUtilCfs.o src/misc/extra/extraUtilCube.o src/misc/extra/extraUtilDsd.o src/misc/extra/extraUtilEnum.o src/misc/extra/extraUtilFile.o src/misc/extra/extraUtilGen.o src/misc/extra/extraUtilMacc.o src/misc/extra/extraUtilMaj.o src/misc/extra/extraUtilMemory.o src/misc/extra/extraUtilMisc.o src/misc/extra/extraUtilMult.o src/misc/extra/extraUtilPath.o src/misc/extra/extraUtilPerm.o src/misc/extra/extraUtilProgress.o src/misc/extra/extraUtilReader.o src/misc/extra/extraUtilSupp.o src/misc/extra/extraUtilTruth.o src/misc/extra/extraUtilUtil.o src/misc/mvc/mvcApi.o src/misc/mvc/mvcCompare.o src/misc/mvc/mvcContain.o src/misc/mvc/mvcCover.o src/misc/mvc/mvcCube.o src/misc/mvc/mvcDivide.o src/misc/mvc/mvcDivisor.o src/misc/mvc/mvcList.o src/misc/mvc/mvcLits.o src/misc/mvc/mvcMan.o src/misc/mvc/mvcOpAlg.o src/misc/mvc/mvcOpBool.o src/misc/mvc/mvcPrint.o src/misc/mvc/mvcSort.o src/misc/mvc/mvcUtils.o src/misc/st/st.o src/misc/st/stmm.o src/misc/util/utilBridge.o src/misc/util/utilCex.o src/misc/util/utilColor.o src/misc/util/utilFile.o src/misc/util/utilIsop.o src/misc/util/utilNam.o src/misc/util/utilSignal.o src/misc/util/utilSort.o src/misc/nm/nmApi.o src/misc/nm/nmTable.o src/misc/tim/timBox.o src/misc/tim/timDump.o src/misc/tim/timMan.o src/misc/tim/timTime.o src/misc/tim/timTrav.o src/misc/mem/mem.o src/misc/bar/bar.o src/misc/bbl/bblif.o src/misc/parse/parseEqn.o src/misc/parse/parseStack.o src/opt/cut/cutApi.o src/opt/cut/cutCut.o src/opt/cut/cutMan.o src/opt/cut/cutMerge.o src/opt/cut/cutNode.o src/opt/cut/cutOracle.o src/opt/cut/cutPre22.o src/opt/cut/cutSeq.o src/opt/cut/cutTruth.o src/opt/fxu/fxu.o src/opt/fxu/fxuCreate.o src/opt/fxu/fxuHeapD.o src/opt/fxu/fxuHeapS.o src/opt/fxu/fxuList.o src/opt/fxu/fxuMatrix.o src/opt/fxu/fxuPair.o src/opt/fxu/fxuPrint.o src/opt/fxu/fxuReduce.o src/opt/fxu/fxuSelect.o src/opt/fxu/fxuSingle.o src/opt/fxu/fxuUpdate.o src/opt/fxch/Fxch.o src/opt/fxch/FxchDiv.o src/opt/fxch/FxchMan.o src/opt/fxch/FxchSCHashTable.o src/opt/rwr/rwrDec.o src/opt/rwr/rwrEva.o src/opt/rwr/rwrExp.o src/opt/rwr/rwrLib.o src/opt/rwr/rwrMan.o src/opt/rwr/rwrPrint.o src/opt/rwr/rwrUtil.o src/opt/mfs/mfsCore.o src/opt/mfs/mfsDiv.o src/opt/mfs/mfsInter.o src/opt/mfs/mfsMan.o src/opt/mfs/mfsResub.o src/opt/mfs/mfsSat.o src/opt/mfs/mfsStrash.o src/opt/mfs/mfsWin.o src/opt/sim/simMan.o src/opt/sim/simSeq.o src/opt/sim/simSupp.o src/opt/sim/simSwitch.o src/opt/sim/simSym.o src/opt/sim/simSymSat.o src/opt/sim/simSymSim.o src/opt/sim/simSymStr.o src/opt/sim/simUtils.o src/opt/ret/retArea.o src/opt/ret/retCore.o src/opt/ret/retDelay.o src/opt/ret/retFlow.o src/opt/ret/retIncrem.o src/opt/ret/retInit.o src/opt/ret/retLvalue.o src/opt/fret/fretMain.o src/opt/fret/fretFlow.o src/opt/fret/fretInit.o src/opt/fret/fretTime.o src/opt/res/resCore.o src/opt/res/resDivs.o src/opt/res/resFilter.o src/opt/res/resSat.o src/opt/res/resSim.o src/opt/res/resStrash.o src/opt/res/resWin.o src/opt/lpk/lpkCore.o src/opt/lpk/lpkAbcDec.o src/opt/lpk/lpkAbcMux.o src/opt/lpk/lpkAbcDsd.o src/opt/lpk/lpkAbcUtil.o src/opt/lpk/lpkCut.o src/opt/lpk/lpkMan.o src/opt/lpk/lpkMap.o src/opt/lpk/lpkMulti.o src/opt/lpk/lpkMux.o src/opt/lpk/lpkSets.o src/opt/nwk/nwkAig.o src/opt/nwk/nwkCheck.o src/opt/nwk/nwkBidec.o src/opt/nwk/nwkDfs.o src/opt/nwk/nwkFanio.o src/opt/nwk/nwkFlow.o src/opt/nwk/nwkMan.o src/opt/nwk/nwkMap.o src/opt/nwk/nwkMerge.o src/opt/nwk/nwkObj.o src/opt/nwk/nwkSpeedup.o src/opt/nwk/nwkStrash.o src/opt/nwk/nwkTiming.o src/opt/nwk/nwkUtil.o src/opt/rwt/rwtDec.o src/opt/rwt/rwtMan.o src/opt/rwt/rwtUtil.o src/opt/cgt/cgtAig.o src/opt/cgt/cgtCore.o src/opt/cgt/cgtDecide.o src/opt/cgt/cgtMan.o src/opt/cgt/cgtSat.o src/opt/csw/cswCore.o src/opt/csw/cswCut.o src/opt/csw/cswMan.o src/opt/csw/cswTable.o src/opt/dar/darBalance.o src/opt/dar/darCore.o src/opt/dar/darCut.o src/opt/dar/darData.o src/opt/dar/darLib.o src/opt/dar/darMan.o src/opt/dar/darPrec.o src/opt/dar/darRefact.o src/opt/dar/darScript.o src/opt/dau/dauCanon.o src/opt/dau/dauCore.o src/opt/dau/dauCount.o src/opt/dau/dauDivs.o src/opt/dau/dauDsd.o src/opt/dau/dauEnum.o src/opt/dau/dauGia.o src/opt/dau/dauMerge.o src/opt/dau/dauNonDsd.o src/opt/dau/dauNpn.o src/opt/dau/dauNpn2.o src/opt/dau/dauTree.o src/opt/dsc/dsc.o src/opt/sfm/sfmArea.o src/opt/sfm/sfmCnf.o src/opt/sfm/sfmCore.o src/opt/sfm/sfmDec.o src/opt/sfm/sfmLib.o src/opt/sfm/sfmNtk.o src/opt/sfm/sfmSat.o src/opt/sfm/sfmTim.o src/opt/sfm/sfmMit.o src/opt/sfm/sfmWin.o src/opt/sbd/sbd.o src/opt/sbd/sbdCnf.o src/opt/sbd/sbdCore.o src/opt/sbd/sbdCut.o src/opt/sbd/sbdCut2.o src/opt/sbd/sbdLut.o src/opt/sbd/sbdPath.o src/opt/sbd/sbdSat.o src/opt/sbd/sbdWin.o src/sat/bsat/satMem.o src/sat/bsat/satInter.o src/sat/bsat/satInterA.o src/sat/bsat/satInterB.o src/sat/bsat/satInterP.o src/sat/bsat/satProof.o src/sat/bsat/satSolver.o src/sat/bsat/satSolver2.o src/sat/bsat/satSolver2i.o src/sat/bsat/satSolver3.o src/sat/bsat/satStore.o src/sat/bsat/satTrace.o src/sat/bsat/satTruth.o src/sat/bsat/satUtil.o src/sat/xsat/xsatSolver.o src/sat/xsat/xsatSolverAPI.o src/sat/xsat/xsatCnfReader.o src/sat/satoko/solver.o src/sat/satoko/solver_api.o src/sat/satoko/cnf_reader.o src/sat/csat/csat_apis.o src/sat/msat/msatActivity.o src/sat/msat/msatClause.o src/sat/msat/msatClauseVec.o src/sat/msat/msatMem.o src/sat/msat/msatOrderH.o src/sat/msat/msatQueue.o src/sat/msat/msatRead.o src/sat/msat/msatSolverApi.o src/sat/msat/msatSolverCore.o src/sat/msat/msatSolverIo.o src/sat/msat/msatSolverSearch.o src/sat/msat/msatSort.o src/sat/msat/msatVec.o src/sat/cnf/cnfCore.o src/sat/cnf/cnfCut.o src/sat/cnf/cnfData.o src/sat/cnf/cnfFast.o src/sat/cnf/cnfMan.o src/sat/cnf/cnfMap.o src/sat/cnf/cnfPost.o src/sat/cnf/cnfUtil.o src/sat/cnf/cnfWrite.o src/sat/bmc/bmcBCore.o src/sat/bmc/bmcBmc.o src/sat/bmc/bmcBmc2.o src/sat/bmc/bmcBmc3.o src/sat/bmc/bmcBmcAnd.o src/sat/bmc/bmcBmci.o src/sat/bmc/bmcBmcG.o src/sat/bmc/bmcBmcS.o src/sat/bmc/bmcCexCare.o src/sat/bmc/bmcCexCut.o src/sat/bmc/bmcCexDepth.o src/sat/bmc/bmcCexMin1.o src/sat/bmc/bmcCexMin2.o src/sat/bmc/bmcCexTools.o src/sat/bmc/bmcChain.o src/sat/bmc/bmcClp.o src/sat/bmc/bmcEco.o src/sat/bmc/bmcExpand.o src/sat/bmc/bmcFault.o src/sat/bmc/bmcFx.o src/sat/bmc/bmcGen.o src/sat/bmc/bmcICheck.o src/sat/bmc/bmcInse.o src/sat/bmc/bmcLoad.o src/sat/bmc/bmcMaj.o src/sat/bmc/bmcMaj2.o src/sat/bmc/bmcMaj3.o src/sat/bmc/bmcMaxi.o src/sat/bmc/bmcMesh.o src/sat/bmc/bmcMesh2.o src/sat/bmc/bmcMulti.o src/sat/bmc/bmcUnroll.o src/bool/bdc/bdcCore.o src/bool/bdc/bdcDec.o src/bool/bdc/bdcSpfd.o src/bool/bdc/bdcTable.o src/bool/dec/decAbc.o src/bool/dec/decFactor.o src/bool/dec/decMan.o src/bool/dec/decPrint.o src/bool/dec/decUtil.o src/bool/kit/kitAig.o src/bool/kit/kitBdd.o src/bool/kit/kitCloud.o src/bool/kit/cloud.o src/bool/kit/kitDsd.o src/bool/kit/kitFactor.o src/bool/kit/kitGraph.o src/bool/kit/kitHop.o src/bool/kit/kitIsop.o src/bool/kit/kitPla.o src/bool/kit/kitSop.o src/bool/kit/kitTruth.o src/bool/lucky/lucky.o src/bool/lucky/luckyFast16.o src/bool/lucky/luckyFast6.o src/bool/lucky/luckyRead.o src/bool/lucky/luckySimple.o src/bool/lucky/luckySwapIJ.o src/bool/lucky/luckySwap.o src/bool/rsb/rsbDec6.o src/bool/rsb/rsbMan.o src/bool/rpo/rpo.o src/proof/pdr/pdrCnf.o src/proof/pdr/pdrCore.o src/proof/pdr/pdrIncr.o src/proof/pdr/pdrInv.o src/proof/pdr/pdrMan.o src/proof/pdr/pdrSat.o src/proof/pdr/pdrTsim.o src/proof/pdr/pdrTsim2.o src/proof/pdr/pdrTsim3.o src/proof/pdr/pdrUtil.o src/proof/abs/absDup.o src/proof/abs/absGla.o src/proof/abs/absGlaOld.o src/proof/abs/absIter.o src/proof/abs/absOldCex.o src/proof/abs/absOldRef.o src/proof/abs/absOldSat.o src/proof/abs/absOldSim.o src/proof/abs/absOut.o src/proof/abs/absPth.o src/proof/abs/absRef.o src/proof/abs/absRefSelect.o src/proof/abs/absRpm.o src/proof/abs/absRpmOld.o src/proof/abs/absVta.o src/proof/abs/absUtil.o src/proof/live/liveness.o src/proof/live/liveness_sim.o src/proof/live/ltl_parser.o src/proof/live/kliveness.o src/proof/live/monotone.o src/proof/live/disjunctiveMonotone.o src/proof/live/arenaViolation.o src/proof/live/kLiveConstraints.o src/proof/live/combination.o src/proof/ssc/sscClass.o src/proof/ssc/sscCore.o src/proof/ssc/sscSat.o src/proof/ssc/sscSim.o src/proof/ssc/sscUtil.o src/proof/int/intCheck.o src/proof/int/intContain.o src/proof/int/intCore.o src/proof/int/intCtrex.o src/proof/int/intDup.o src/proof/int/intFrames.o src/proof/int/intInter.o src/proof/int/intM114.o src/proof/int/intMan.o src/proof/int/intUtil.o src/proof/cec/cecCec.o src/proof/cec/cecChoice.o src/proof/cec/cecClass.o src/proof/cec/cecCore.o src/proof/cec/cecCorr.o src/proof/cec/cecIso.o src/proof/cec/cecMan.o src/proof/cec/cecPat.o src/proof/cec/cecSat.o src/proof/cec/cecSatG.o src/proof/cec/cecSeq.o src/proof/cec/cecSolve.o src/proof/cec/cecSplit.o src/proof/cec/cecSynth.o src/proof/cec/cecSweep.o src/proof/acec/acecCl.o src/proof/acec/acecCore.o src/proof/acec/acecCo.o src/proof/acec/acecBo.o src/proof/acec/acecRe.o src/proof/acec/acecPa.o src/proof/acec/acecPo.o src/proof/acec/acecPool.o src/proof/acec/acecCover.o src/proof/acec/acecFadds.o src/proof/acec/acecMult.o src/proof/acec/acecNorm.o src/proof/acec/acecOrder.o src/proof/acec/acecPolyn.o src/proof/acec/acecSt.o src/proof/acec/acecTree.o src/proof/acec/acecUtil.o src/proof/acec/acec2Mult.o src/proof/acec/acecXor.o src/proof/dch/dchAig.o src/proof/dch/dchChoice.o src/proof/dch/dchClass.o src/proof/dch/dchCnf.o src/proof/dch/dchCore.o src/proof/dch/dchMan.o src/proof/dch/dchSat.o src/proof/dch/dchSim.o src/proof/dch/dchSimSat.o src/proof/dch/dchSweep.o src/proof/fraig/fraigApi.o src/proof/fraig/fraigCanon.o src/proof/fraig/fraigFanout.o src/proof/fraig/fraigFeed.o src/proof/fraig/fraigMan.o src/proof/fraig/fraigMem.o src/proof/fraig/fraigNode.o src/proof/fraig/fraigPrime.o src/proof/fraig/fraigSat.o src/proof/fraig/fraigTable.o src/proof/fraig/fraigUtil.o src/proof/fraig/fraigVec.o src/proof/fra/fraBmc.o src/proof/fra/fraCec.o src/proof/fra/fraClass.o src/proof/fra/fraClau.o src/proof/fra/fraClaus.o src/proof/fra/fraCnf.o src/proof/fra/fraCore.o src/proof/fra/fraHot.o src/proof/fra/fraImp.o src/proof/fra/fraInd.o src/proof/fra/fraIndVer.o src/proof/fra/fraLcr.o src/proof/fra/fraMan.o src/proof/fra/fraPart.o src/proof/fra/fraSat.o src/proof/fra/fraSec.o src/proof/fra/fraSim.o src/proof/ssw/sswAig.o src/proof/ssw/sswBmc.o src/proof/ssw/sswClass.o src/proof/ssw/sswCnf.o src/proof/ssw/sswConstr.o src/proof/ssw/sswCore.o src/proof/ssw/sswDyn.o src/proof/ssw/sswFilter.o src/proof/ssw/sswIslands.o src/proof/ssw/sswLcorr.o src/proof/ssw/sswMan.o src/proof/ssw/sswPart.o src/proof/ssw/sswPairs.o src/proof/ssw/sswRarity.o src/proof/ssw/sswSat.o src/proof/ssw/sswSemi.o src/proof/ssw/sswSim.o src/proof/ssw/sswSimSat.o src/proof/ssw/sswSweep.o src/proof/ssw/sswUnique.o src/aig/aig/aigCheck.o src/aig/aig/aigCanon.o src/aig/aig/aigCuts.o src/aig/aig/aigDfs.o src/aig/aig/aigDup.o src/aig/aig/aigFanout.o src/aig/aig/aigFrames.o src/aig/aig/aigInter.o src/aig/aig/aigJust.o src/aig/aig/aigMan.o src/aig/aig/aigMem.o src/aig/aig/aigMffc.o src/aig/aig/aigObj.o src/aig/aig/aigOper.o src/aig/aig/aigOrder.o src/aig/aig/aigPack.o src/aig/aig/aigPart.o src/aig/aig/aigPartReg.o src/aig/aig/aigPartSat.o src/aig/aig/aigRepr.o src/aig/aig/aigRet.o src/aig/aig/aigRetF.o src/aig/aig/aigScl.o src/aig/aig/aigShow.o src/aig/aig/aigSplit.o src/aig/aig/aigTable.o src/aig/aig/aigTiming.o src/aig/aig/aigTruth.o src/aig/aig/aigTsim.o src/aig/aig/aigUtil.o src/aig/aig/aigWin.o src/aig/saig/saigCone.o src/aig/saig/saigConstr.o src/aig/saig/saigConstr2.o src/aig/saig/saigDual.o src/aig/saig/saigDup.o src/aig/saig/saigInd.o src/aig/saig/saigIoa.o src/aig/saig/saigIso.o src/aig/saig/saigIsoFast.o src/aig/saig/saigIsoSlow.o src/aig/saig/saigMiter.o src/aig/saig/saigOutDec.o src/aig/saig/saigPhase.o src/aig/saig/saigRetFwd.o src/aig/saig/saigRetMin.o src/aig/saig/saigRetStep.o src/aig/saig/saigScl.o src/aig/saig/saigSimFast.o src/aig/saig/saigSimMv.o src/aig/saig/saigSimSeq.o src/aig/saig/saigStrSim.o src/aig/saig/saigSwitch.o src/aig/saig/saigSynch.o src/aig/saig/saigTempor.o src/aig/saig/saigTrans.o src/aig/saig/saigWnd.o src/aig/gia/giaAig.o src/aig/gia/giaAgi.o src/aig/gia/giaAiger.o src/aig/gia/giaAigerExt.o src/aig/gia/giaBalAig.o src/aig/gia/giaBalLut.o src/aig/gia/giaBalMap.o src/aig/gia/giaBidec.o src/aig/gia/giaCCof.o src/aig/gia/giaCex.o src/aig/gia/giaClp.o src/aig/gia/giaCof.o src/aig/gia/giaCone.o src/aig/gia/giaCSatOld.o src/aig/gia/giaCSat.o src/aig/gia/giaCSat2.o src/aig/gia/giaCTas.o src/aig/gia/giaCut.o src/aig/gia/giaDfs.o src/aig/gia/giaDup.o src/aig/gia/giaEdge.o src/aig/gia/giaEmbed.o src/aig/gia/giaEnable.o src/aig/gia/giaEquiv.o src/aig/gia/giaEra.o src/aig/gia/giaEra2.o src/aig/gia/giaEsop.o src/aig/gia/giaExist.o src/aig/gia/giaFalse.o src/aig/gia/giaFanout.o src/aig/gia/giaForce.o src/aig/gia/giaFrames.o src/aig/gia/giaFront.o src/aig/gia/giaFx.o src/aig/gia/giaGig.o src/aig/gia/giaGlitch.o src/aig/gia/giaHash.o src/aig/gia/giaIf.o src/aig/gia/giaIff.o src/aig/gia/giaIiff.o src/aig/gia/giaIso.o src/aig/gia/giaIso2.o src/aig/gia/giaIso3.o src/aig/gia/giaJf.o src/aig/gia/giaKf.o src/aig/gia/giaLf.o src/aig/gia/giaMf.o src/aig/gia/giaMan.o src/aig/gia/giaMem.o src/aig/gia/giaMfs.o src/aig/gia/giaMini.o src/aig/gia/giaMuxes.o src/aig/gia/giaNf.o src/aig/gia/giaOf.o src/aig/gia/giaPack.o src/aig/gia/giaPat.o src/aig/gia/giaPf.o src/aig/gia/giaQbf.o src/aig/gia/giaResub.o src/aig/gia/giaRetime.o src/aig/gia/giaRex.o src/aig/gia/giaSatEdge.o src/aig/gia/giaSatLE.o src/aig/gia/giaSatLut.o src/aig/gia/giaSatMap.o src/aig/gia/giaSatoko.o src/aig/gia/giaSat3.o src/aig/gia/giaScl.o src/aig/gia/giaScript.o src/aig/gia/giaShow.o src/aig/gia/giaShrink.o src/aig/gia/giaShrink6.o src/aig/gia/giaShrink7.o src/aig/gia/giaSim.o src/aig/gia/giaSim2.o src/aig/gia/giaSort.o src/aig/gia/giaSpeedup.o src/aig/gia/giaSplit.o src/aig/gia/giaStg.o src/aig/gia/giaStr.o src/aig/gia/giaSupMin.o src/aig/gia/giaSupp.o src/aig/gia/giaSweep.o src/aig/gia/giaSweeper.o src/aig/gia/giaSwitch.o src/aig/gia/giaTim.o src/aig/gia/giaTis.o src/aig/gia/giaTruth.o src/aig/gia/giaTsim.o src/aig/gia/giaUnate.o src/aig/gia/giaUtil.o src/aig/ioa/ioaReadAig.o src/aig/ioa/ioaWriteAig.o src/aig/ioa/ioaUtil.o src/aig/ivy/ivyBalance.o src/aig/ivy/ivyCanon.o src/aig/ivy/ivyCheck.o src/aig/ivy/ivyCut.o src/aig/ivy/ivyCutTrav.o src/aig/ivy/ivyDfs.o src/aig/ivy/ivyDsd.o src/aig/ivy/ivyFanout.o src/aig/ivy/ivyFastMap.o src/aig/ivy/ivyFraig.o src/aig/ivy/ivyHaig.o src/aig/ivy/ivyMan.o src/aig/ivy/ivyMem.o src/aig/ivy/ivyMulti.o src/aig/ivy/ivyObj.o src/aig/ivy/ivyOper.o src/aig/ivy/ivyResyn.o src/aig/ivy/ivyRwr.o src/aig/ivy/ivySeq.o src/aig/ivy/ivyShow.o src/aig/ivy/ivyTable.o src/aig/ivy/ivyUtil.o src/aig/hop/hopBalance.o src/aig/hop/hopCheck.o src/aig/hop/hopDfs.o src/aig/hop/hopMan.o src/aig/hop/hopMem.o src/aig/hop/hopObj.o src/aig/hop/hopOper.o src/aig/hop/hopTable.o src/aig/hop/hopTruth.o src/aig/hop/hopUtil.o src/bdd/cudd/cuddAPI.o src/bdd/cudd/cuddAddAbs.o src/bdd/cudd/cuddAddApply.o src/bdd/cudd/cuddAddFind.o src/bdd/cudd/cuddAddInv.o src/bdd/cudd/cuddAddIte.o src/bdd/cudd/cuddAddNeg.o src/bdd/cudd/cuddAddWalsh.o src/bdd/cudd/cuddAndAbs.o src/bdd/cudd/cuddAnneal.o src/bdd/cudd/cuddApa.o src/bdd/cudd/cuddApprox.o src/bdd/cudd/cuddBddAbs.o src/bdd/cudd/cuddBddCorr.o src/bdd/cudd/cuddBddIte.o src/bdd/cudd/cuddBridge.o src/bdd/cudd/cuddCache.o src/bdd/cudd/cuddCheck.o src/bdd/cudd/cuddClip.o src/bdd/cudd/cuddCof.o src/bdd/cudd/cuddCompose.o src/bdd/cudd/cuddDecomp.o src/bdd/cudd/cuddEssent.o src/bdd/cudd/cuddExact.o src/bdd/cudd/cuddExport.o src/bdd/cudd/cuddGenCof.o src/bdd/cudd/cuddGenetic.o src/bdd/cudd/cuddGroup.o src/bdd/cudd/cuddHarwell.o src/bdd/cudd/cuddInit.o src/bdd/cudd/cuddInteract.o src/bdd/cudd/cuddLCache.o src/bdd/cudd/cuddLevelQ.o src/bdd/cudd/cuddLinear.o src/bdd/cudd/cuddLiteral.o src/bdd/cudd/cuddMatMult.o src/bdd/cudd/cuddPriority.o src/bdd/cudd/cuddRead.o src/bdd/cudd/cuddRef.o src/bdd/cudd/cuddReorder.o src/bdd/cudd/cuddSat.o src/bdd/cudd/cuddSign.o src/bdd/cudd/cuddSolve.o src/bdd/cudd/cuddSplit.o src/bdd/cudd/cuddSubsetHB.o src/bdd/cudd/cuddSubsetSP.o src/bdd/cudd/cuddSymmetry.o src/bdd/cudd/cuddTable.o src/bdd/cudd/cuddUtil.o src/bdd/cudd/cuddWindow.o src/bdd/cudd/cuddZddCount.o src/bdd/cudd/cuddZddFuncs.o src/bdd/cudd/cuddZddGroup.o src/bdd/cudd/cuddZddIsop.o src/bdd/cudd/cuddZddLin.o src/bdd/cudd/cuddZddMisc.o src/bdd/cudd/cuddZddPort.o src/bdd/cudd/cuddZddReord.o src/bdd/cudd/cuddZddSetop.o src/bdd/cudd/cuddZddSymm.o src/bdd/cudd/cuddZddUtil.o src/bdd/extrab/extraBddAuto.o src/bdd/extrab/extraBddCas.o src/bdd/extrab/extraBddImage.o src/bdd/extrab/extraBddKmap.o src/bdd/extrab/extraBddMaxMin.o src/bdd/extrab/extraBddMisc.o src/bdd/extrab/extraBddSet.o src/bdd/extrab/extraBddSymm.o src/bdd/extrab/extraBddThresh.o src/bdd/extrab/extraBddTime.o src/bdd/extrab/extraBddUnate.o src/bdd/dsd/dsdApi.o src/bdd/dsd/dsdCheck.o src/bdd/dsd/dsdLocal.o src/bdd/dsd/dsdMan.o src/bdd/dsd/dsdProc.o src/bdd/dsd/dsdTree.o src/bdd/epd/epd.o src/bdd/mtr/mtrBasic.o src/bdd/mtr/mtrGroup.o src/bdd/reo/reoApi.o src/bdd/reo/reoCore.o src/bdd/reo/reoProfile.o src/bdd/reo/reoShuffle.o src/bdd/reo/reoSift.o src/bdd/reo/reoSwap.o src/bdd/reo/reoTransfer.o src/bdd/reo/reoUnits.o src/bdd/cas/casCore.o src/bdd/cas/casDec.o src/bdd/bbr/bbrCex.o src/bdd/bbr/bbrImage.o src/bdd/bbr/bbrNtbdd.o src/bdd/bbr/bbrReach.o src/bdd/llb/llb1Cluster.o src/bdd/llb/llb1Constr.o src/bdd/llb/llb1Core.o src/bdd/llb/llb1Group.o src/bdd/llb/llb1Hint.o src/bdd/llb/llb1Man.o src/bdd/llb/llb1Matrix.o src/bdd/llb/llb1Pivot.o src/bdd/llb/llb1Reach.o src/bdd/llb/llb1Sched.o src/bdd/llb/llb2Bad.o src/bdd/llb/llb2Core.o src/bdd/llb/llb2Driver.o src/bdd/llb/llb2Dump.o src/bdd/llb/llb2Flow.o src/bdd/llb/llb2Image.o src/bdd/llb/llb3Image.o src/bdd/llb/llb3Nonlin.o src/bdd/llb/llb4Cex.o src/bdd/llb/llb4Image.o src/bdd/llb/llb4Nonlin.o src/bdd/llb/llb4Sweep.o -Wl,-z,relro -Wl,-z,now -Wl,--as-needed -lreadline -lpthread -lm -ldl -lrt -lbz2 -lz make[2]: Leaving directory '/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg' cp abc berkeley-abc make[1]: Leaving directory '/build/berkeley-abc-T25BIr/berkeley-abc-1.01+20191006git52a8ebb+dfsg' dh_auto_test -a create-stamp debian/debhelper-build-stamp fakeroot debian/rules binary-arch dh binary-arch dh_testroot -a dh_prep -a dh_auto_install -a dh_install -a dh_installdocs -a dh_installchangelogs -a dh_installman -a dh_lintian -a dh_perl -a dh_link -a dh_strip_nondeterminism -a dh_compress -a dh_fixperms -a dh_missing -a dh_dwz -a dh_strip -a dh_makeshlibs -a dh_shlibdeps -a dh_installdeb -a dh_gencontrol -a dh_md5sums -a dh_builddeb -a dpkg-deb: building package 'berkeley-abc' in '../berkeley-abc_1.01+20191006git52a8ebb+dfsg-1_amd64.deb'. dpkg-deb: building package 'berkeley-abc-dbgsym' in '../berkeley-abc-dbgsym_1.01+20191006git52a8ebb+dfsg-1_amd64.deb'. dpkg-genbuildinfo --build=any dpkg-genchanges --build=any >../berkeley-abc_1.01+20191006git52a8ebb+dfsg-1_amd64.changes dpkg-genchanges: info: binary-only arch-specific upload (source code and arch-indep packages not included) dpkg-source --after-build . dpkg-buildpackage: info: binary-only upload (no source included) I: running special hook: sync-out /build/berkeley-abc-T25BIr /tmp/berkeley-abc-1.01+20191006git52a8ebb+dfsg-1nfllf7_y I: cleaning package lists and apt cache... I: creating tarball... I: done I: removing tempdir /tmp/mmdebstrap.EK9xpNSIKb... I: success in 2533.5361 seconds md5: berkeley-abc-dbgsym_1.01+20191006git52a8ebb+dfsg-1_amd64.deb: OK md5: berkeley-abc_1.01+20191006git52a8ebb+dfsg-1_amd64.deb: OK sha1: berkeley-abc-dbgsym_1.01+20191006git52a8ebb+dfsg-1_amd64.deb: OK sha1: berkeley-abc_1.01+20191006git52a8ebb+dfsg-1_amd64.deb: OK sha256: berkeley-abc-dbgsym_1.01+20191006git52a8ebb+dfsg-1_amd64.deb: OK sha256: berkeley-abc_1.01+20191006git52a8ebb+dfsg-1_amd64.deb: OK Checksums: OK